Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 2 de 2
Filtrar
Más filtros












Base de datos
Intervalo de año de publicación
1.
Micromachines (Basel) ; 13(3)2022 Mar 18.
Artículo en Inglés | MEDLINE | ID: mdl-35334753

RESUMEN

Roll-to-plate nanoimprinting with flexible stamps is a fabrication method to pattern large-area substrates with micro- and nanotextures. The imprint consists of the preferred texture on top of a residual layer, of which the thickness and uniformity is critical for many applications. In this work, a numerical model is developed to predict the residual layer thickness (RLT) as a function of the imprint parameters. The model is based on elastohydrodynamic lubrication (EHL) theory, which combines lubrication theory for the pressure build-up in the resin film, with linear elasticity theory for the elastic deformation of the roller material. The model is extended with inextensible cylindrical shell theory to capture the effect of the flexible stamp, which is treated as a tensioned web. The results show that an increase in the tension of the web increases the effective stiffness of the roller, resulting in a reduction in the RLT. The numerical results are validated with layer height measurements from flat layer imprints. It is shown that the simulated minimum layer height corresponds very well with the experimental results for a wide range of resin viscosities, imprint velocities, and imprint loads.

2.
Nanomaterials (Basel) ; 11(2)2021 Jan 29.
Artículo en Inglés | MEDLINE | ID: mdl-33572813

RESUMEN

Bio-inspired surfaces with superamphiphobic properties are well known as effective candidates for antifouling technology. However, the limitation of large-area mastering, patterning and pattern collapsing upon physical contact are the bottleneck for practical utilization in marine and medical applications. In this study, a roll-to-plate nanoimprint lithography (R2P NIL) process using Morphotonics' automated Portis NIL600 tool was used to replicate high aspect ratio (5.0) micro-structures via reusable intermediate flexible stamps that were fabricated from silicon master molds. Two types of Morphotonics' in-house UV-curable resins were used to replicate a micro-pillar (PIL) and circular rings with eight stripe supporters (C-RESS) micro-structure onto polycarbonate (PC) and polyethylene terephthalate (PET) foil substrates. The pattern quality and surface wettability was compared to a conventional polydimethylsiloxane (PDMS) soft lithography process. It was found that the heights of the R2P NIL replicated PIL and C-RESS patterns deviated less than 6% and 5% from the pattern design, respectively. Moreover, the surface wettability of the imprinted PIL and C-RESS patterns was found to be superhydro- and oleophobic and hydro- and oleophobic, respectively, with good robustness for the C-RESS micro-structure. Therefore, the R2P NIL process is expected to be a promising method to fabricate robust C-RESS micro-structures for large-scale anti-biofouling application.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA
...