Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 23
Filtrar
Mais filtros








Base de dados
Intervalo de ano de publicação
1.
Adv Mater ; 35(26): e2209779, 2023 Jun.
Artigo em Inglês | MEDLINE | ID: mdl-36951229

RESUMO

Thermoelectric materials convert heat into electricity through thermally driven charge transport in solids or vice versa for cooling. To compete with conventional energy-conversion technologies, a thermoelectric material must possess the properties of both an electrical conductor and a thermal insulator. However, these properties are normally mutually exclusive because of the interconnection between scattering mechanisms for charge carriers and phonons. Recent theoretical investigations on sub-device scales have revealed that nanopillars attached to a membrane exhibit a multitude of local phonon resonances, spanning the full spectrum, that couple with the heat-carrying phonons in the membrane and cause a reduction in the in-plane thermal conductivity, with no expected change in the electrical properties because the nanopillars are outside the pathway of voltage generation and charge transport. Here this effect is demonstrated experimentally for the first time by investigating device-scale suspended silicon membranes with GaN nanopillars grown on the surface. The nanopillars cause up to 21% reduction in the thermal conductivity while the power factor remains unaffected, thus demonstrating an unprecedented decoupling in the semiconductor's thermoelectric properties. The measured thermal conductivity behavior for coalesced nanopillars and corresponding lattice-dynamics calculations provide evidence that the reductions are mechanistically tied to the phonon resonances. This finding paves the way for high-efficiency solid-state energy recovery and cooling.

2.
Nanotechnology ; 31(42): 424002, 2020 Jun 24.
Artigo em Inglês | MEDLINE | ID: mdl-32580185

RESUMO

Lateral piezoresponse force microscopy (L-PFM) is demonstrated as a reliable method for determining the crystallographic polarity of individual, dispersed GaN nanowires that were functional components in electrical test structures. In contrast to PFM measurements of vertically oriented (as-grown) nanowires, where a biased probe tip couples to out-of-plane deformations through the d33 piezoelectic coefficient, the L-PFM measurements in this study were implemented on horizontally oriented nanowires that coupled to shear deformations through the d15 coefficient. L-PFM phase-polarity relationships were determined experimentally using a bulk m-plane GaN sample with a known [0001] direction and further indicated that the sign of the d15 piezoelectric coefficient was negative. L-PFM phase images successfully revealed the in-plane [0001] orientation of self-assembed GaN nanowires as part of a growth polarity study and results were validated against scanning transmission electron microscopy lattice images. Combined characterization of electrical properties and crystallographic polarity was also implemented for two-terminal GaN/Al0.1Ga0.9N/GaN nanowires devices, demonstrating L-PFM measurements as a viable tool for assessing correlations between device rectification and polarization-induced band bending.

3.
Nanotechnology ; 30(23): 234001, 2019 Jun 07.
Artigo em Inglês | MEDLINE | ID: mdl-30776789

RESUMO

Ultraviolet light-emitting diodes fabricated from N-polar AlGaN/GaN core-shell nanowires (NWs) with p-i-n structure produced electroluminescence at 365 nm with ∼5× higher intensities than similar GaN homojunction LEDs. The improved characteristics were attributed to localization of spontaneous recombination to the NW core, reduction of carrier overflow losses through the NW shell, and elimination of current shunting. Poisson-drift-diffusion modeling indicated that a shell Al mole fraction of x = 0.1 in Al x Ga1-x N effectively confines electrons and injected holes to the GaN core region. AlGaN overcoat layers targeting this approximate Al mole fraction were found to possess a low-Al-content tip and high-Al-content shell, as determined by scanning transmission electron microscopy. Photoluminescence spectroscopy further revealed the actual Al mole fraction to be NW diameter-dependent, where the tip and shell compositions converged towards the nominal flux ratio for large diameter NWs.

4.
Artigo em Inglês | MEDLINE | ID: mdl-33335451

RESUMO

The crystallographic polarity of AlN grown on Si(111) by plasma assisted molecular beam epitaxy is intentionally inverted from N-polar to Al-polar at a planar boundary. The position of the inversion boundary is controlled by a two-step growth process that abruptly changes from Al-rich to N-rich growth conditions. The polarity inversion is induced by the presence of Si, which is incorporated from an Al-Si eutectic layer that forms during the initial stages of AlN growth and floats on the AlN surface under Al-rich growth conditions. When the growth conditions change to N-rich the Al and Si in the eutectic react with the additional N-flux and are incorporated into the solid AlN film. Relatively low levels of Al-Si eutectic formation combined with lateral variations in the Si incorporation lead to nonuniformity in the polarity inversion and formation of surprisingly narrow, vertical inversion domains. The results suggest that intentional incorporation of uniform layers of Si may provide a method for producing polarity engineered nitride structures.

5.
Artigo em Inglês | MEDLINE | ID: mdl-32128288

RESUMO

A practical nanofabrication process is detailed here for the generation of black GaAs. Discontinuous thin films of Au nanoparticles are electrodeposited onto GaAs substrates to catalyze site-specific etching in a solution of KMnO4 and HF according to the metal-assisted chemical etching mechanism. This provides a solution-based and lithography-free method for fabricating sub-wavelength nanostructure arrays that exhibit solar-weighted reflectance approaching 4 %. This two-step benchtop process can be entirely performed at room-temperature without lithographic patterning or vacuum instrumentation, providing an alternative high-throughput nanotexturing approach for thin-film photovoltaics applications.

6.
IEEE Electron Device Lett ; 39(2): 184-187, 2018 Feb.
Artigo em Inglês | MEDLINE | ID: mdl-29720783

RESUMO

Wrap-around gate GaN nanowire MOSFETs using Al2O3 as gate oxide have been experimentally demonstrated. The fabricated devices exhibit a minimum subthreshold slope of 60 mV/dec, an average subthreshold slope of 68 mV/dec over three decades of drain current, drain-induced barrier lowering of 27 mV/V, an on-current of 42 µA/µm (normalized by nanowire circumference), on/off ratio over 108, an intrinsic transconductance of 27.8 µS/µm, for a switching efficiency figure of merit, Q=gm/SS of 0.41 µS/µm-dec/mV. These performance metrics make GaN nanowire MOSFETs a promising candidate for emerging low-power applications such as sensors and RF for the internet of things.

7.
Crystals (Basel) ; 8(9)2018.
Artigo em Inglês | MEDLINE | ID: mdl-33101720

RESUMO

Selective area growth (SAG) of GaN nanowires and nanowalls on Si(111) substrates with AlN and GaN buffer layers grown by plasma-assisted molecular beam epitaxy was studied. For N-polar samples filling of SAG features increased with decreasing lattice mismatch between the SAG and buffer. Defects related to Al-Si eutectic formation were observed in all samples, irrespective of lattice mismatch and buffer layer polarity. Eutectic related defects in the Si surface caused voids in N-polar samples, but not in metal-polar samples. Likewise, inversion domains were present in N-polar, but not metal-polar samples. The morphology of Ga-polar GaN SAG on nitride buffered Si(111) was similar to that of homoepitaxial GaN SAG.

8.
Artigo em Inglês | MEDLINE | ID: mdl-33343056

RESUMO

GaN nanowire LEDs with radial p-i-n junctions were grown by molecular beam epitaxy using N-polar selective area growth on Si(111) substrates. The N-polar selective area growth process facilitated the growth of isolated and high-aspect-ratio n-type NW cores that were not subject to self-shadowing effects during the subsequent growth of a conformal low-temperature Mg:GaN shell. LED devices were fabricated from single-NW and multiple-NW arrays in their as-grown configuration by contacting the n-type core through an underlying conductive GaN layer and the p-type NW shell via a metallization layer. The NW LEDs exhibited rectifying I-V characteristics with a sharp turn-on voltage near the GaN bandgap and low reverse bias leakage current. Under forward bias, the NW LEDs produced electroluminescence with a peak emission wavelength near 380 nm and exhibited a small spectral blueshift with increasing current injection, both of which are consistent with electron recombination in the p-type shell layer through donor-acceptor-pair recombination. These core-shell NW devices demonstrate N-polar selective area growth as an effective technique for producing on-chip nanoscale light sources.

9.
Appl Phys Lett ; 1132018 Sep 19.
Artigo em Inglês | MEDLINE | ID: mdl-33363292

RESUMO

We report the use of optical Bragg scattering and homodyne interferometry to simultaneously measure all the first order cantilever-mode mechanical resonance frequencies and quality factors (Q) of gallium nitride nanowires (GaN NWs) in 100 NW periodic selected-area growth arrays. Hexagonal 2D arrays of 100 GaN NWs with pitch spacings of 350-1100 nm were designed and prepared to allow optical Bragg scattering. The NWs studied have diameters ranging from 100-300 nm, lengths from 3-10 µm, resonance frequencies between 1-10 MHz, and Q-values near 10,000 at 300 K. The system can passively detect the thermally induced Brownian mechanical motion of the NWs and can study driven NW motion, enabling the simultaneous monitoring of hundreds of mechanical resonators in a 10-100 µm2 area with a single optical beam. The read-out system allows large arrays of NWs to be characterized and applied as e.g. spatially resolved temperature and mass sensors.

10.
J Mater Res ; 322017.
Artigo em Inglês | MEDLINE | ID: mdl-31274956

RESUMO

A comparison of two electron microscopy techniques used to determine the polarity of GaN nanowires is presented. The techniques are convergent beam electron diffraction (CBED) in TEM mode and annular bright field (ABF) imaging in aberration corrected STEM mode. Both measurements were made at nominally the same locations on a variety of GaN nanowires. In all cases the two techniques gave the same polarity result. An important aspect of the study was the calibration of the CBED pattern rotation relative to the TEM image. Three different microscopes were used for CBED measurements. For all three instruments there was a substantial rotation of the diffraction pattern (120 or 180°) relative to the image, which, if unaccounted for, would have resulted in incorrect polarity determination. The study also shows that structural defects such as inversion domains can be readily identified by ABF imaging, but may escape identification by CBED. The relative advantages of the two techniques are discussed.

11.
Appl Phys Lett ; 108(7)2016.
Artigo em Inglês | MEDLINE | ID: mdl-38486617

RESUMO

Despite their uniform crystallinity, the shape and faceting of semiconducting nanowires (NWs) can give rise to variations in structure and associated electronic properties. Here we develop a hybrid scanning probe-based methodology to investigate local variations in electronic structure across individual n-doped GaN NWs integrated into a transistor device. We perform scanning microwave microscopy (SMM), which we combine with scanning gate microscopy (SGM) to determine the free-carrier SMM signal contribution and image local charge carrier density variations. In particular, we find significant variations in free carriers across NWs, with a higher carrier density at the wire facets. By increasing the local carrier density through tip-gating, we find that the tip injects current into the NW with strongly localized current when positioned over the wire vertices. These results suggest that the strong variations in electronic properties observed within NWs have significant implications for device design and may lead to new paths to optimization.

12.
Nanotechnology ; 25(41): 415502, 2014 Oct 17.
Artigo em Inglês | MEDLINE | ID: mdl-25258349

RESUMO

GaN nanowires were coated with tungsten by means of atomic layer deposition. These structures were then adapted as probe tips for near-field scanning microwave microscopy. These probes displayed a capacitive resolution of ~0.03 fF, which surpasses that of a commercial Pt tip. Upon imaging of MoS2 sheets with both the Pt and GaN nanowire tips, we found that the nanowire tips were comparatively immune to surface contamination and far more durable than their Pt counterparts.

13.
Artigo em Inglês | MEDLINE | ID: mdl-25615114

RESUMO

Nematic and cholesteric liquid crystals are three-dimensional fluids that possess long-range orientational ordering and can support both topological defects and chiral superstructures. Implications of this ordering remain unexplored even for simple dynamic processes such as the ones found in so-called "fall experiments," or motion of a spherical inclusion under the effects of gravity. Here we show that elastic and surface anchoring interactions prompt periodic dynamics of colloidal microparticles in confined cholesterics when gravity acts along the helical axis. We explore elastic interactions between colloidal microparticles and confining surfaces as well as with an aligned ground-state helical structure of cholesterics for different sizes of spheres relative to the cholesteric pitch, demonstrating unexpected departures from Stokes-like behavior at very low Reynolds numbers. We characterize metastable localization of microspheres under the effects of elastic and surface anchoring periodic potential landscapes seen by moving spheres, demonstrating the important roles played by anchoring memory, confinement, and topological defect transformation. These experimental findings are consistent with the results of numerical modeling performed through minimizing the total free energy due to colloidal inclusions at different locations along the helical axis and with respect to the confining substrates. A potential application emerging from this work is colloidal sorting based on particle shapes and sizes.

14.
J Vis Exp ; (81): e50738, 2013 Nov 15.
Artigo em Inglês | MEDLINE | ID: mdl-24300746

RESUMO

Single GaN nanowire (NW) devices fabricated on SiO2 can exhibit a strong degradation after annealing due to the occurrence of void formation at the contact/SiO2 interface. This void formation can cause cracking and delamination of the metal film, which can increase the resistance or lead to a complete failure of the NW device. In order to address issues associated with void formation, a technique was developed that removes Ni/Au contact metal films from the substrates to allow for the examination and characterization of the contact/substrate and contact/NW interfaces of single GaN NW devices. This procedure determines the degree of adhesion of the contact films to the substrate and NWs and allows for the characterization of the morphology and composition of the contact interface with the substrate and nanowires. This technique is also useful for assessing the amount of residual contamination that remains from the NW suspension and from photolithographic processes on the NW-SiO2 surface prior to metal deposition. The detailed steps of this procedure are presented for the removal of annealed Ni/Au contacts to Mg-doped GaN NWs on a SiO2 substrate.


Assuntos
Gálio/química , Nanofios/química , Nitrogênio/química , Ouro/química , Nanotecnologia/instrumentação , Nanotecnologia/métodos , Níquel/química , Dióxido de Silício/química , Propriedades de Superfície
15.
Nano Lett ; 13(2): 374-7, 2013 Feb 13.
Artigo em Inglês | MEDLINE | ID: mdl-23324057

RESUMO

In this Letter we report on the fabrication, device characteristics, and optical coupling of a two-nanowire device comprising GaN nanowires with light-emitting and photoconductive capabilities. Axial p-n junction GaN nanowires were grown by molecular beam epitaxy, transferred to a non-native substrate, and selectively contacted to form discrete optical source or detector nanowire components. The optical coupling demonstrated for this device may provide new opportunities for integration of optical interconnects between on-chip electrical subsystems.

16.
Nanotechnology ; 23(36): 365203, 2012 Sep 14.
Artigo em Inglês | MEDLINE | ID: mdl-22910019

RESUMO

The development of Ni/Au contacts to Mg-doped GaN nanowires (NWs) is examined. Unlike Ni/Au contacts to planar GaN, current-voltage (I-V) measurements of Mg-doped nanowire devices frequently exhibit a strong degradation after annealing in N(2)/O(2). This degradation originates from the poor wetting behavior of Ni and Au on SiO(2) and the excessive void formation that occurs at the metal/NW and metal/oxide interfaces. The void formation can cause cracking and delamination of the metal film as well as reduce the contact area at the metal/NW interface, which increases the resistance. The morphology and composition of the annealed Ni/Au contacts on SiO(2) and the p-GaN films were investigated by scanning electron microscopy (SEM), energy-dispersive x-ray spectroscopy (EDS) and x-ray diffraction (XRD) measurements. Adhesion experiments were performed in order to determine the degree of adhesion of the Ni/Au films to the SiO(2) as well as observe and analyze the morphology of the film's underside by SEM. Device degradation from annealing was prevented through the use of a specific adhesion layer of Ti/Al/Ni deposited prior to the nanowire dispersal and Ni/Au deposition. I-V measurements of NW devices fabricated using this adhesion layer showed a decrease in resistance after annealing, whereas all others showed an increase in resistance. Transmission electron microscopy (TEM) on a cross-section of a NW with Ni/Au contacts and a Ti/Al/Ni adhesion layer showed a lack of void formation at the contact/NW interface. Results of the XRD and TEM analysis of the NW contact structure using a Ti/Al/Ni adhesion layer suggests Al alloying of the Ni/Au contact increases the adhesion and stability of the metal film as well as prevents excessive void formation at the contact/NW interface.

17.
Nano Lett ; 12(9): 4600-4, 2012 Sep 12.
Artigo em Inglês | MEDLINE | ID: mdl-22924866

RESUMO

The first noncontact photoconductivity measurements of gallium nitride nanowires (NWs) are presented, revealing a high crystallographic and optoelectronic quality achieved by use of catalyst-free molecular beam epitaxy. In comparison with bulk material, the NWs exhibit a long conductivity lifetime (>2 ns) and a high mobility (820 ± 120 cm(2)/(V s)). This is due to the weak influence of surface traps with respect to other III-V semiconducting NWs and to the favorable crystalline structure of the NWs achieved via strain-relieved growth.


Assuntos
Gálio/química , Teste de Materiais/métodos , Nanotubos/química , Nanotubos/ultraestrutura , Condutividade Elétrica , Tamanho da Partícula , Eletricidade Estática
18.
Nanotechnology ; 23(24): 245301, 2012 Jun 22.
Artigo em Inglês | MEDLINE | ID: mdl-22640980

RESUMO

Effects of design and materials on the dielectrophoretic self-assembly of individual gallium nitride nanowires (GaN NWs) onto microfabricated electrodes have been experimentally investigated. The use of TiO(2) surface coating generated by atomic layer deposition (ALD) improves dielectrophoretic assembly yield of individual GaN nanowires on microfabricated structures by as much as 67%. With a titanium dioxide coating, individual nanowires were placed across suspended electrode pairs in 46% of tests (147 out of 320 total), versus 28% of tests (88 out of 320 total tests) that used uncoated GaN NWs. An additional result from these tests was that suspending the electrodes 2.75 µm above the substrate corresponded with up to 15.8% improvement in overall assembly yield over that of electrodes fabricated directly on the substrate.

19.
Nanotechnology ; 23(17): 175501, 2012 May 04.
Artigo em Inglês | MEDLINE | ID: mdl-22481611

RESUMO

We demonstrate a new method for tailoring the selectivity of chemical sensors using semiconductor nanowires (NWs) decorated with metal and metal oxide multicomponent nanoclusters (NCs). Here we present the change of selectivity of titanium dioxide (TiO(2)) nanocluster-coated gallium nitride (GaN) nanowire sensor devices on the addition of platinum (Pt) nanoclusters. The hybrid sensor devices were developed by fabricating two-terminal devices using individual GaN NWs followed by the deposition of TiO(2) and/or Pt nanoclusters (NCs) using the sputtering technique. This paper present the sensing characteristics of GaN/(TiO(2)-Pt) nanowire-nanocluster (NWNC) hybrids and GaN/(Pt) NWNC hybrids, and compare their selectivity with that of the previously reported GaN/TiO(2) sensors. The GaN/TiO(2) NWNC hybrids showed remarkable selectivity to benzene and related aromatic compounds, with no measurable response for other analytes. Addition of Pt NCs to GaN/TiO(2) sensors dramatically altered their sensing behavior, making them sensitive only to methanol, ethanol and hydrogen, but not to any other chemicals we tested. The GaN/(TiO(2)-Pt) hybrids were able to detect ethanol and methanol concentrations as low as 100 nmol mol(-1) (ppb) in air in approximately 100 s, and hydrogen concentrations from 1 µmol mol(-1) (ppm) to 1% in nitrogen in less than 60 s. However, GaN/Pt NWNC hybrids showed limited sensitivity only towards hydrogen and not towards any alcohols. All these hybrid sensors worked at room temperature and are photomodulated, i.e. they responded to analytes only in the presence of ultraviolet (UV) light. We propose a qualitative explanation based on the heat of adsorption, ionization energy and solvent polarity to explain the observed selectivity of the different hybrids. These results are significant from the standpoint of applications requiring room-temperature hydrogen sensing and sensitive alcohol monitoring. These results demonstrate the tremendous potential for tailoring the selectivity of the hybrid nanosensors for a multitude of environmental and industrial sensing applications.


Assuntos
Etanol/análise , Gálio/química , Hidrogênio/análise , Metanol/análise , Nanofios/química , Platina/química , Titânio/química , Nanotecnologia
20.
Opt Express ; 20(7): 7741-8, 2012 Mar 26.
Artigo em Inglês | MEDLINE | ID: mdl-22453452

RESUMO

Stable optical trapping and manipulation of high-index particles in low-index host media is often impossible due to the dominance of scattering forces over gradient forces. Here we explore optical manipulation in liquid crystalline structured hosts and show that robust optical manipulation of high-index particles, such as GaN nanowires, is enabled by laser-induced distortions in long-range molecular alignment, via coupling of translational and rotational motions due to helicoidal molecular arrangement, or due to elastic repulsive interactions with confining substrates. Anisotropy of the viscoelastic liquid crystal medium and particle shape give rise to a number of robust unconventional trapping capabilities, which we use to characterize defect structures and study rheological properties of various thermotropic liquid crystals.


Assuntos
Cristais Líquidos/química , Nanotecnologia/instrumentação , Nanotubos/química , Nanotubos/ultraestrutura , Refratometria/instrumentação , Desenho de Equipamento , Análise de Falha de Equipamento
SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA