Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 35
Filtrar
Mais filtros








Base de dados
Intervalo de ano de publicação
1.
Opt Express ; 28(3): 3331-3351, 2020 Feb 03.
Artigo em Inglês | MEDLINE | ID: mdl-32122004

RESUMO

We present a general method for designing XUV aperiodic multilayer mirrors that can mimic a given target spectrum, specifically, the spectral transmission of an XUV optical system. The method is based on minimizing a merit function and using fidelity parameters that quantify the matching of the multilayer reflectivity spectrum with that of the target spectrum. To assess the feasibility of fabricating such a system, we show how to reduce the layer-to-layer thickness variations throughout the aperiodic layer stack. We demonstrate the design method using an example of an EUV optical system composed of 12 identical Mo/Si multilayer mirrors having a reflectivity peak at 13.5 nm. We found that the target spectrum can be mimicked with high fidelity either with a single reflection at an aperiodic multilayer mirror combined with standard absorbing filters or, if required, with two subsequent reflections at a mimic mirror. These examples demonstrate the applicability for metrology at XUV sources, including spectrally proper source imaging. Because our approach is of general applicability, the process can be used to mimic any other narrowband, single-peaked target spectrum in the XUV region.

2.
J Synchrotron Radiat ; 27(Pt 2): 386-395, 2020 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-32153277

RESUMO

Following the recent demonstration of grazing-incidence X-ray fluorescence (GIXRF)-based characterization of the 3D atomic distribution of different elements and dimensional parameters of periodic nanoscale structures, this work presents a new computational scheme for the simulation of the angular-dependent fluorescence intensities from such periodic 2D and 3D nanoscale structures. The computational scheme is based on the dynamical diffraction theory in many-beam approximation, which allows a semi-analytical solution to the Sherman equation to be derived in a linear-algebraic form. The computational scheme has been used to analyze recently published GIXRF data measured on 2D Si3N4 lamellar gratings, as well as on periodically structured 3D Cr nanopillars. Both the dimensional and structural parameters of these nanostructures have been reconstructed by fitting numerical simulations to the experimental GIXRF data. Obtained results show good agreement with nominal parameters used in the manufacturing of the structures, as well as with reconstructed parameters based on the previously published finite-element-method simulations, in the case of the Si3N4 grating.

3.
Acta Crystallogr A Found Adv ; 75(Pt 2): 342-351, 2019 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-30821267

RESUMO

A structural characterization of W/Si multilayers using X-ray reflectivity (XRR), scanning transmission electron microscopy (STEM) and grazing-incidence small-angle X-ray scattering (GISAXS) is presented. STEM images revealed lateral, periodic density fluctuations in the Si layers, which were further analysed using GISAXS. Characteristic parameters of the fluctuations such as average distance between neighbouring fluctuations, average size and lateral distribution of their position were obtained by fitting numerical simulations to the measured scattering images, and these parameters are in good agreement with the STEM observations. For the numerical simulations the density fluctuations were approximated as a set of spheroids distributed inside the Si layers as a 3D paracrystal (a lattice of spheroids with short-range ordering but lacking any long-range order). From GISAXS, the density of the material inside the density fluctuations is calculated to be 2.07 g cm-3 which is 89% of the bulk value of the deposited layer (2.33 g cm-3).

4.
J Nanosci Nanotechnol ; 19(1): 585-592, 2019 01 01.
Artigo em Inglês | MEDLINE | ID: mdl-30327073

RESUMO

We studied a possibility of fabricating LaN/B grazing incidence multilayer mirrors for 6.x nm radiation at a relatively large angle of incidence (AOI = 77° off-normal). LaN/B multilayers with a periodicity of 15 nm were successfully fabricated. But when stored in air for one week they showed strong deterioration of the surface due to oxidation of the topmost LaN layers, even though the multilayer was capped with a thin B film. In a series of experiments with variable LaN thickness it was found that the B protective properties depend on the thickness of the underlying LaN layer. Based on these experiments a 15 nm LaN/La/B multilayer with a passivation layer of only 0.4 nm of LaN was fabricated, which did not show any deterioration of the surface within a testing period of half a year. An initial reflectivity of 74.5% at ≈6.66 nm, AOI = 77° off-normal was achieved, which was reduced by 0.5% absolute in half a year, due to contamination of the top B layer.

5.
J Nanosci Nanotechnol ; 19(1): 602-608, 2019 Jan 01.
Artigo em Inglês | MEDLINE | ID: mdl-30327075

RESUMO

High resolution imaging systems for EUV range are based on multilayer optics. Current generation of EUV lithography uses broadband Sn LPP sources, which requires broadband mirrors to fully utilize the source power. On the other hand, there always remains a possibility to use FEL or synchrotron as EUV source. FEL can produce very bright narrowband EUV light of a tunable wavelength, and the spectral bandwidth of the mirror is no longer a restriction. In this paper we look at the consequences of switching to different wavelengths if FEL source is used. For instance, it is known that the reflectance of Mo/Si multilayers increases when approaching Si L-edge, and the spectral bandwidth drops. But the behavior of an angular bandwidth (and its relation with the spectral bandwidth) is usually left out. It is also sometimes assumed that these bandwidths are correlated. For a large aperture EUV optical system with diffraction-limited resolution angular acceptance of a mirror is also a very important parameter. We show that the angular bandwidth of several multilayer systems (Mo/Si, Mo/Be, Ru/Si, Ru/B, La/B) actually increases close to spacer absorption edges, opposite to what occurs with the spectral bandwidth. We study this effect and show that it is caused by an interplay of changing optical constants of respective materials used in these multilayer combinations. We also provide an experimental check of the angular bandwidth of Mo/Si multilayers at 13.5 and 12.6 nm, which confirms our calculations.

6.
Acta Crystallogr A Found Adv ; 74(Pt 5): 545-552, 2018 Sep 01.
Artigo em Inglês | MEDLINE | ID: mdl-30182941

RESUMO

Grazing-incidence X-ray diffraction (GID) is a well known technique for the characterization of crystal surfaces. A theoretical study has been performed of the sensitivity of GID to the structure of a crystal surface and distorted nanometre-thin surface layers. To simulate GID from crystals that have a complex subsurface structure, a matrix formalism of the dynamical diffraction theory has been applied. It has been found that the azimuthal rocking curves of a crystal that has a distorted subsurface, measured over a wide angular range, show asymmetric thickness oscillations with two distinguishable sets of frequencies: one corresponding to the diffraction in the single-crystal subsurface layer and the second corresponding to the diffraction in the single-crystal substrate. Therefore, azimuthal rocking curves allow characterization of the subsurface structure of a single crystal. Furthermore, thickness oscillations induced by evanescent diffraction modulate the specular reflection intensity, showing high-intensity modulations. This will potentially allow implementation of subsurface crystal characterization using, for instance, a laboratory-scale X-ray diffractometer.

7.
Rev Sci Instrum ; 89(5): 053904, 2018 May.
Artigo em Inglês | MEDLINE | ID: mdl-29864805

RESUMO

A fully self-contained in-vacuum device for measuring thin film stress in situ is presented. The stress was measured by measuring the curvature of a cantilever on which the thin film was deposited. For this, a dual beam laser deflectometer was used. All optics and electronics needed to perform the measurement are placed inside a vacuum-compatible vessel with the form factor of the substrate holders of the deposition system used. The stand-alone nature of the setup allows the vessel to be moved inside a deposition system independently of optical or electronic feedthroughs while measuring continuously. A Mo/Si multilayer structure was analyzed to evaluate the performance of the setup. A radius of curvature resolution of 270 km was achieved. This allows small details of the stress development to be resolved, such as the interlayer formation between the layers and the amorphous-to-crystalline transition of the molybdenum which occurs at around 2 nm. The setup communicates with an external computer via a Wi-Fi connection. This wireless connection allows remote control over the acquisition and the live feedback of the measured stress. In principle, the vessel can act as a general metrology platform and add measurement capabilities to deposition setups with no modification to the deposition system.

8.
Langmuir ; 33(25): 6419-6426, 2017 06 27.
Artigo em Inglês | MEDLINE | ID: mdl-28585831

RESUMO

We have modified and stabilized the ruthenium surface by depositing a self-assembled monolayer (SAM) of 1-hexadecanethiol on a polycrystalline ruthenium thin film. The growth mechanism, dynamics, and stability of these monolayers were studied. SAMs, deposited under ambient conditions, on piranha-cleaned and piranha + H2SO4 cleaned substrates were compared to monolayers formed on H-radical-cleaned Ru surfaces. We found that alkanethiols on H-radical-cleaned Ru formed densely packed monolayers that remained stable when kept in a nitrogen atmosphere. X-ray photoelectron spectroscopy (XPS) shows a distinct sulfur peak (BE = 162.3 eV), corresponding to metal-sulfur bonding. When exposed to ambient conditions, the SAM decayed over a period of hours.

9.
J Phys Chem C Nanomater Interfaces ; 121(12): 6729-6735, 2017 Mar 30.
Artigo em Inglês | MEDLINE | ID: mdl-28413569

RESUMO

The adsorption and dissociation of carbon dioxide on a Ru(0001) single crystal surface was investigated by reflection-absorption infrared spectroscopy (RAIRS) and temperature-programmed desorption (TPD) spectroscopy for CO2 adsorbed at 85 K. RAIRS spectroscopy shows that the adsorption of CO2 on a Ru(0001) single crystal is partially dissociative, resulting in CO2 and CO. The CO vibrational mode was also observed to split into two distinct modes, indicating two general populations of CO present at the surface. Furthermore, a time-dependent blue-shift is observed, which is characteristic of increasing CO surface coverage. TPD showed that coverages of up to 0.3 ML were obtained, and no evidence for chemisorption of oxygen on ruthenium was found.

10.
Phys Chem Chem Phys ; 19(12): 8174-8187, 2017 Mar 22.
Artigo em Inglês | MEDLINE | ID: mdl-28149999

RESUMO

We employ X-ray absorption near-edge spectroscopy at the boron K-edge and the phosphorus L2,3-edge to study the structural properties of cubic boron phosphide (c-BP) samples. The X-ray absorption spectra are modeled from first-principles within the density functional theory framework using the excited electron core-hole (XCH) approach. A simple structural model of a perfect c-BP crystal accurately reproduces the P L2,3-edge, however it fails to describe the broad and gradual onset of the B K-edge. Simulations of the spectroscopic signatures in boron 1s excitations of intrinsic point defects and the hexagonal BP crystal phase show that these additions to the structural model cannot reproduce the broad pre-edge of the experimental spectrum. Calculated formation enthalpies show that, during the growth of c-BP, it is possible that amorphous boron phases can be grown in conjunction with the desired boron phosphide crystalline phase. In combination with experimental and theoretically obtained X-ray absorption spectra of an amorphous boron structure, which have a similar broad absorption onset in the B K-edge spectrum as the cubic boron phosphide samples, we provide evidence for the presence of amorphous boron clusters in the synthesized c-BP samples.

11.
Opt Express ; 25(3): 1993-2008, 2017 Feb 06.
Artigo em Inglês | MEDLINE | ID: mdl-29519048

RESUMO

We present the first experimental demonstration of a novel type of narrowband and wavelength-tunable multilayer transmission filter for the extreme ultraviolet (EUV) region. The operating principle of the filter is based on spatially overlapping the nodes of a standing wave field with the absorbing layers within the multilayer structure. For a wavelength with a matching node pattern, this increases the transmission as compared to neighboring wavelengths where anti-nodes overlap with the absorbing layers. Using Ni/Si multilayers where Ni provides strong absorption, we demonstrate the proper working of such anomalous transmission filter. The demonstration is carried out at the example of 13.5 nm wavelength and at normal incidence, providing a 0.27 nm-wide transmission peak. We also demonstrate wavelength tunability by operating the same Ni/Si filter at different wavelengths by varying the angle of incidence. As the multilayer filter is directly deposited on the active area of an EUV-sensitive photodiode, this provides an extremely compact device for easy spectral monitoring in the EUV. The transmission spectrum of the filter is modeled and found to be in good agreement with the experimental data. The agreement proves that such filters and compact monitoring devices can be straightforwardly designed and fabricated, as desired, also for other EUV wavelengths, bandwidths and angles of incidence, thereby showing a high potential for applications.

12.
Opt Express ; 24(12): 13220-30, 2016 Jun 13.
Artigo em Inglês | MEDLINE | ID: mdl-27410339

RESUMO

For photon energies of 1 - 5 keV, blazed gratings with multilayer coating are ideally suited for the suppression of stray and higher orders light in grating monochromators. We developed and characterized a blazed 2000 lines/mm grating coated with a 20 period Cr/C- multilayer. The multilayer d-spacing of 7.3 nm has been adapted to the line distance of 500 nm and the blaze angle of 0.84° in order to provide highest efficiency in the photon energy range between 1.5 keV and 3 keV. Efficiency of the multilayer grating as well as the reflectance of a witness multilayer which were coated simultaneously have been measured. An efficiency of 35% was measured at 2 keV while a maximum efficiency of 55% was achieved at 4 keV. In addition, a strong suppression of higher orders was observed which makes blazed multilayer gratings a favorable dispersing element also for the low X-ray energy range.

13.
Opt Lett ; 40(16): 3778-81, 2015 Aug 15.
Artigo em Inglês | MEDLINE | ID: mdl-26274658

RESUMO

We report a hybrid thin-film deposition procedure to significantly enhance the reflectivity of La/B-based multilayer structures. This is of relevance for applications of multilayer optics at 6.7-nm wavelength and beyond. Such multilayers showed a reflectance of 64.1% at 6.65 nm measured at 1.5-degrees off-normal incidence at PTB (BESSY-II). This was achieved by a special scheme of La passivation. The La layer was nitridated to avoid formation of the optically unfavorable LaBx compound at the B-on-La interface. To avoid the also undesired BN formation at the La-on-B interface, a time-dosed nitridation at the initial stage was applied. This research revealed a good potential for further increase in the reflectivity of multilayer structures at 6.7 nm.

14.
Rev Sci Instrum ; 86(6): 063109, 2015 Jun.
Artigo em Inglês | MEDLINE | ID: mdl-26133830

RESUMO

Here, we propose a comparison-free inspection technique to detect particle contamination on the reticle of extreme ultraviolet (EUV) lithography systems, based on the photoluminescence spectral characteristics of the contaminant particles and their elemental composition. We have analyzed the spectra from different particles found on reticles in EUV lithographic systems and have determined the minimum detectable particle size: 25 nm for organic particles and 100 nm for Al particles. Stainless steel coatings (50 nm thick and 50 × 50 µm(2) in area) exhibit detectable photoluminescence, and the estimated minimum detectable particle is 2 µm.

15.
Opt Express ; 23(7): 9276-83, 2015 Apr 06.
Artigo em Inglês | MEDLINE | ID: mdl-25968759

RESUMO

Wideband multilayers designed for various applications in hard X-ray to Extreme UV spectral regions are based on a layered system with layer thicknesses varying largely in depth. However, because the internal structure of a thin film depends on its thickness, this will result in multilayers in which material properties such as density, crystallinity, dielectric constant and effective thickness vary from layer to layer. This variation causes the fabricated multilayers to deviate from the model and negatively influences the reflectivity of the multilayers. In this work we solve this problem by developing designs of wideband multilayers with strongly reduced layer thickness variations in depth, without essential degradation of their optical characteristics.

16.
Opt Express ; 23(4): 4421-34, 2015 Feb 23.
Artigo em Inglês | MEDLINE | ID: mdl-25836479

RESUMO

We present state-of-the-art high resolution transmission gratings, applicable for spectroscopy in the vacuum ultraviolet (VUV) and the soft X-ray (SRX) wavelength range, fabricated with a novel process using ultraviolet based nano imprint lithography (UV-NIL). Free-standing, high-line-density gratings with up to 10,000 lines per mm and various space-to-period ratios were fabricated. An optical characterization of the gratings was carried out in the range from 17 to 34 nm wavelength using high-harmonic generation in a capillary waveguide filled with Ne, and around 13.5 nm wavelength (from 10 to 17 nm) using a Xenon discharge plasma.

17.
Opt Express ; 22(17): 20076-86, 2014 Aug 25.
Artigo em Inglês | MEDLINE | ID: mdl-25321217

RESUMO

We present a way to analyze the chemical composition of periodical multilayer structures using the simultaneous analysis of grazing incidence hard X-Ray reflectivity (GIXR) and normal incidence extreme ultraviolet reflectance (EUVR). This allows to combine the high sensitivity of GIXR data to layer and interface thicknesses with the sensitivity of EUVR to the layer densities and atomic compositions. This method was applied to the reconstruction of the layered structure of a LaN/B multilayer mirror with 3.5 nm periodicity. We have compared profiles obtained by simultaneous EUVR and GIXR and GIXR-only data analysis, both reconstructed profiles result in a similar description of the layered structure. However, the simultaneous analysis of both EUVR and GIXR by a single algorithm lead to a ∼ 2x increased accuracy of the reconstructed layered model, or a more narrow range of solutions, as compared to the GIXR analysis only. It also explains the inherent difficulty of accurately predicting EUV reflectivity from a GIXR-only analysis.

18.
Opt Express ; 22(1): 490-7, 2014 Jan 13.
Artigo em Inglês | MEDLINE | ID: mdl-24515009

RESUMO

We present theoretically derived design rules for an absorbing resonance antireflection coating for the spectral range of 100 - 400 nm, applied here on top of a molybdenum-silicon multilayer mirror (Mo/Si MLM) as commonly used in extreme ultraviolet lithography. The design rules for optimal suppression are found to be strongly dependent on the thickness and optical constants of the coating. For wavelengths below λ ∼ 230 nm, absorbing thin films can be used to generate an additional phase shift and complement the propagational phase shift, enabling full suppression already with film thicknesses far below the quarter-wave limit. Above λ ∼ 230 nm, minimal absorption (k < 0.2) is necessary for low reflectance and the minimum required layer thickness increases with increasing wavelength slowly converging towards the quarter-wave limit.As a proof of principle, SixCyNz thin films were deposited that exhibit optical constants close to the design rules for suppression around 285 nm. The thin films were deposited by electron beam co-deposition of silicon and carbon, with N+ ion implantation during growth and analyzed with variable angle spectroscopic ellipsometry to characterize the optical constants. We report a reduction of reflectance at λ = 285 nm, from 58% to 0.3% for a Mo/Si MLM coated with a 20 nm thin film of Si0.52C0.16N0.29.


Assuntos
Desenho Assistido por Computador , Lentes , Membranas Artificiais , Modelos Teóricos , Espalhamento de Radiação , Absorção , Simulação por Computador , Transferência de Energia , Desenho de Equipamento , Análise de Falha de Equipamento , Luz , Propriedades de Superfície
19.
Opt Express ; 21(14): 16964-74, 2013 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-23938545

RESUMO

We report on the development of a hybrid mirror realized by integrating an EUV-reflecting multilayer coating with a lamellar grating substrate. This hybrid mirror acts as an efficient Bragg reflector for extreme ultraviolet (EUV) radiation at a given wavelength while simultaneously providing spectral-selective suppression of the specular reflectance for unwanted longer-wavelength radiation due to the grating phase-shift resonance. The test structures, designed to suppress infrared (IR) radiation, were fabricated by masked deposition of a Si grating substrate followed by coating of the grating with a Mo/Si multilayer. To give the proof of principle, we developed such a hybrid mirror for the specific case of reflecting 13.5 nm radiation while suppressing 10 µm light, resulting in 61% reflectance at the wavelength of 13.5 nm together with the 70 × suppression rate of the specular reflection at the wavelength of 10 µm, but the considered filtering principle can be used for a variety of applications that are based on utilization of broadband radiation sources.


Assuntos
Lentes , Refratometria/instrumentação , Desenho de Equipamento , Análise de Falha de Equipamento , Raios Infravermelhos
20.
Opt Express ; 21(11): 13105-17, 2013 Jun 03.
Artigo em Inglês | MEDLINE | ID: mdl-23736564

RESUMO

An extended set of coupled wave equations were derived to describe non-idealized lamellar multilayer grating structures with properties as obtained with state-of-the-art fabrication techniques. These generalized equations can include all relevant effects describing the influence of passivation and contamination layers, non-rectangular lamel profiles and sidewall scalloping. The calculations showed that passivation and contamination plays an important role in that it may significantly reduce peak reflectivity. However, we also derived a condition for layer thicknesses having negligible effects. Slightly positive tapered lamel profiles are shown to further reduce the bandwidth as compared to a rectangular lamel profile, whereas negative tapers significantly increased the bandwidth. The influence of intriguing effects, such as the sidewall scalloping caused by Bosch Deep Reactive Ion Etching, are also modeled. We identified the signature of such scalloping as additional side peaks in the reflectivity spectrum and present parameters with which these can be effectively suppressed.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA