Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 26
Filtrar
Mais filtros








Base de dados
Intervalo de ano de publicação
1.
ACS Appl Polym Mater ; 5(11): 9612-9619, 2023 Nov 10.
Artigo em Inglês | MEDLINE | ID: mdl-37970530

RESUMO

In the present work, we demonstrate the formation of oxide porous and nanodot structures from the same block copolymer (BCP) by the phase inversion of a BCP template. We investigated the effect of solvent annealing time on the ordering of asymmetric, cylinder forming, polystyrene-b-poly(4-vinylpyridine) (PS-b-P4VP) BCP. Phase separation of PS-b-P4VP was achieved by solvent vapor annealing (SVA) in a solvent atmosphere that is (partially) selective to P4VP to initially generate hexagonally arranged, cylindrical arrays of the expected structure. The morphology of the BCP changed from P4VP hexagonally packed cylinders to an 'inverse' structure with PS cylinders embedded in a P4VP matrix. This suggests that selective swelling occurs over time such that the swollen P4VP phase becomes the majority volume component. Metal ions (Ga3+, In3+) were infiltrated into the BCP templates by a solution-mediated infiltration approach, followed by an ultraviolet-ozone treatment to remove the polymer and oxidize the metallic ions to their oxides. The findings show that a single BCP can be used to create both metal oxide arrays and porous structures of metal oxides by simply varying the duration of the solvent annealing process. The resulting structures were analyzed through several methods including scanning electron microscopy, atomic force microscopy, X-ray photoelectron spectroscopy (XPS), transmission electron microscopy, and energy-dispersive X-ray spectroscopy. XPS analyses confirmed the complete elimination of the BCP template and the presence of metal oxides. This study provides important insights into the development of functional BCP materials with inverse structures.

2.
Nanomaterials (Basel) ; 12(13)2022 Jun 28.
Artigo em Inglês | MEDLINE | ID: mdl-35808059

RESUMO

Open pore mesoporous silica (MPS) thin films and channels were prepared on a substrate surface. The pore dimension, thickness and ordering of the MPS thin films were controlled by using different concentrations of the precursor and molecular weight of the pluronics. Spectroscopic and microscopic techniques were utilized to determine the alignment and ordering of the pores. Further, MPS channels on a substrate surface were fabricated using commercial available lithographic etch masks followed by an inductively coupled plasma (ICP) etch. Attempts were made to shrink the channel dimension by using a block copolymer (BCP) hard mask methodology. In this regard, polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block copolymer (BCP) thin film forming perpendicularly oriented PEO cylinders in a PS matrix after microphase separation through solvent annealing was used as a structural template. An insitu hard mask methodology was applied which selectively incorporate the metal ions into the PEO microdomains followed by UV/Ozone treatment to generate the iron oxide hard mask nanopatterns. The aspect ratio of the MPS nanochannels can be varied by altering etching time without altering their shape. The MPS nanochannels exhibited good coverage across the entire substrate and allowed direct access to the pore structures.

3.
ACS Nano ; 15(6): 9550-9558, 2021 Jun 22.
Artigo em Inglês | MEDLINE | ID: mdl-34042425

RESUMO

We demonstrate the fabrication of sub-20 nm gate-all-around silicon (Si) nanowire field effect transistor structures using self-assembly. To create nanopatterned Si feature arrays, a block-copolymer-assisted hard mask approach was utilized using a topographically patterned substrate with well-defined Si3N4 features for graphoepitaxially alignment of the self-assembled patterns. Microphase-separated long-range ordered polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block-copolymer-derived dot and line nanopatterns were achieved by a thermo-solvent approach within the substrate topographically defined channels of various widths and lengths. Solvent annealing parameters (temperature, annealing time, etc.) were varied to achieve the desired patterns. The BCP structures were modified by anhydrous ethanol to facilitate insertion of iron oxide features within the graphoepitaxial trenches that maintained the parent BCP arrangements. Vertical and horizontal ordered Si nanowire structures within trenches were fabricated using the iron oxide features as hard masks in an inductively coupled plasma (ICP) etch process. Cross-sectional micrographs depict wires of persistent width and flat sidewalls indicating the effectiveness of the mask. The aspect ratios could be varied by varying etch times. The sharp boundaries between the transistor components was also examined through the elemental mapping.

4.
Nanomaterials (Basel) ; 11(3)2021 Mar 08.
Artigo em Inglês | MEDLINE | ID: mdl-33800510

RESUMO

Nanopatterns can readily be formed by annealing block copolymers (BCPs) in organic solvents at moderate or high temperatures. However, this approach can be challenging from an environmental and industrial point of view. Herein, we describe a simple and environmentally friendly alternative to achieve periodically ordered nanoscale phase separated BCP structures. Asymmetric polystyrene-b-poly(ethylene oxide) (PS-b-PEO) thin film patterns of different molecular weight were achieved by annealing in supercritical carbon dioxide (sc-CO2). Microphase separation of PS-b-PEO (16,000-5000) film patterns were achieved by annealing in scCO2 at a relatively low temperature was previously reported by our group. The effects of annealing temperature, time and depressurisation rates for the polymer system were also discussed. In this article, we have expanded this study to create new knowledge on the structural and dimensional evolution of nanohole and line/space surface periodicity of four other different molecular weights PS-b-PEO systems. Periodic, well defined, hexagonally ordered films of line and hole patterns were obtained at low CO2 temperatures (35-40 °C) and pressures (1200-1300 psi). Further, the changes in morphology, ordering and feature sizes for a new PS-b-PEO system (42,000-11,500) are discussed in detail upon changing the scCO2 annealing parameters (temperature, film thickness, depressurization rates, etc.). In relation to our previous reports, the broad annealing temperature and depressurisation rate were explored together for different film thicknesses. In addition, the effects of SCF annealing for three other BCP systems (PEO-b-PS, PS-b-PDMS, PS-b-PLA) is also investigated with similar processing conditions. The patterns were also generated on a graphoepitaxial substrate for device application.

5.
Langmuir ; 37(5): 1932-1940, 2021 Feb 09.
Artigo em Inglês | MEDLINE | ID: mdl-33507754

RESUMO

We present a highly scalable, room-temperature strategy for fabricating vertical silicon nanotube arrays derived from a toroidal micelle pattern via a water vapor-induced block copolymer (BCP) self-assembly mechanism. A polystyrene-b-poly(ethylene oxide) (PS-b-PEO) BCP system can be self-assembled into toroidal micelle structures (diameter: 400-600 nm) on a PS-OH-modified substrate in a facile manner contrasting with other complex processes described in the literature. It was found that a minimum PS-b-PEO thickness of ∼86 nm is required for the toroidal self-assembly. Furthermore, a water vapor annealing treatment at room conditions (∼25 °C, 60 min) is shown to vastly enhance the ordering of micellar structures. A liquid-phase infiltration process was used to generate arrays of iron and nickel oxide nanorings. These oxide structures were used as templates for pattern transfer into the underlying silicon substrate via plasma etching, resulting in large-area 3D silicon nanotube arrays. The overall simplicity of this technique, as well as the wide potential versatility of the resulting metal structures, proves that such room-temperature synthesis routes are a viable pathway for complex nanostructure fabrication, with potential applicability in fields such as optics or catalysis.

6.
ACS Omega ; 6(51): 35738-35744, 2021 Dec 28.
Artigo em Inglês | MEDLINE | ID: mdl-34984304

RESUMO

A range of well-ordered inorganic (antimony, tin, and tungsten oxide) and dielectric (silica, alumina, and hafnia) nanoparticles and nanowire array patterns are created on substrates by a low-cost block copolymer (BCP) approach. A cylindrical-phase PS-b-PEO BCP is used as a template with hexagonally ordered perpendicular or parallel orientation of PEO cylinders. The solvent annealing parameters such as solvents, temperature, time, and so forth are optimized to achieve the desired patterns. An established BCP in situ inclusion protocol is utilized to achieve the material nanopatterns by spin coating the respective precursor ethanolic solution on the template followed by UV/ozone treatment for oxide conversion and polymer removal. Furthermore, the precursor solution concentrations and stirring times are calibrated to achieve isolated, well-ordered, and uniform-diameter and -thickness nanoparticles and nanowires. All of the material nanopatterns are mimicking the parent BCP nanopatterns. The phases of all of the nanopatterns are determined by X-ray photoelectron spectroscopy. The inorganic and dielectric nanopattern arrays are patterned on a graphoepitaxial substrate for device application.

7.
J Colloid Interface Sci ; 531: 533-543, 2018 Dec 01.
Artigo em Inglês | MEDLINE | ID: mdl-30055448

RESUMO

Films of self assembled diblock copolymers (BCPs) have attracted significant attention for generating semiconductor nanoarrays of sizes below 100 nm through a simple low cost approach for device fabrication. A challenging abstract is controlling microdomain orientation and ordering dictated by complex interplay of surface energies, polymer-solvent interactions and domain spacing. In context, microphase separated poly (styrene-b-ethylene oxide) (PS-b-PEO) thin films is illustrated to fabricate nanopatterns on silicon and germanium materials trenches. The trenched templates was produced by simple electron beam lithography using hydrogen silsesquioxane (HSQ) resist. The orientation of PEO, minority cylinder forming block, was controlled by controlling trench width and varying solvent annealing parameters viz. temperature, time etc. A noticeable difference in microdomain orientation was observed for Si and Ge trenches processed under same conditions. The Ge trenches promoted horizontal orientations compared to Si due to difference in surface properties without any prior surface treatments. This methodology allows to create Ge nanopatterns for device fabrication since native oxides on Ge often induce patterning challenges. Subsequently, a selective metal inclusion method was used to form hardmask nanoarrays to pattern transfer into those substrates through dry etching. The hardmask allows to create good fidelity, low line edge roughness (LER) materials nanopatterns.

8.
Sci Rep ; 8(1): 7252, 2018 May 08.
Artigo em Inglês | MEDLINE | ID: mdl-29740003

RESUMO

In an effort to develop block copolymer lithography to create high aspect vertical pore arrangements in a substrate surface we have used a microphase separated poly(ethylene oxide) -b- polystyrene (PEO-b-PS) block copolymer (BCP) thin film where (and most unusually) PS not PEO is the cylinder forming phase and PEO is the majority block. Compared to previous work, we can amplify etch contrast by inclusion of hard mask material into the matrix block allowing the cylinder polymer to be removed and the exposed substrate subject to deep etching thereby generating uniform, arranged, sub-25 nm cylindrical nanopore arrays. Briefly, selective metal ion inclusion into the PEO matrix and subsequent processing (etch/modification) was applied for creating iron oxide nanohole arrays. The oxide nanoholes (22 nm diameter) were cylindrical, uniform diameter and mimics the original BCP nanopatterns. The oxide nanohole network is demonstrated as a resistant mask to fabricate ultra dense, well ordered, good sidewall profile silicon nanopore arrays on substrate surface through the pattern transfer approach. The Si nanopores have uniform diameter and smooth sidewalls throughout their depth. The depth of the porous structure can be controlled via the etch process.

9.
J Colloid Interface Sci ; 490: 294-302, 2017 Mar 15.
Artigo em Inglês | MEDLINE | ID: mdl-27914328

RESUMO

Fluorescent silica nanoparticles (NPs) have potential in biomedical applications as diagnostics and traceable drug delivery agents. In this study, we have synthesized fluorescent dye grafted silica NPs in two step process. First, a stable method to synthesize various sizes of silica NPs ranging from 20 to 52, 95, 210 and 410nm have been successfully demonstrated. Secondly, as-synthesized silica NPs are readily grafted with some fluorescent dyes like IR-820 and fluorescein isothiocyanate (FITC) dyes by simple impregnation method. IR-820 and FITC dyes are 'activated' by (3-mercaptopropyl)trimethoxysilane (MPTMS) and (3-aminopropyl)triethoxysilane (APTS) respectively prior to the grafting on silica NPs. UV-vis spectroscopy is used to test the stability of dye grafted silica NPs. The fluorescent dye grafted silica NPs are quite stable in aqueous solution. Also, a new type of dual dye-doped hybrid silica nanoparticles has been developed. The combination of microscopic and spectroscopic techniques shows that the synthesis parameters have significant effects on the particle shape and size and is tuneable from a few nanometers to a few hundred nanometers. The ability to create size controlled nanoparticles with associated (optical) functionality may have significant importance in bio-medical imaging.


Assuntos
Fluoresceína-5-Isotiocianato/química , Corantes Fluorescentes/química , Verde de Indocianina/análogos & derivados , Nanopartículas/química , Dióxido de Silício/química , Fluoresceína-5-Isotiocianato/síntese química , Corantes Fluorescentes/síntese química , Verde de Indocianina/síntese química , Verde de Indocianina/química , Microscopia Confocal , Nanopartículas/ultraestrutura , Dióxido de Silício/síntese química
10.
Soft Matter ; 12(24): 5429-37, 2016 Jun 28.
Artigo em Inglês | MEDLINE | ID: mdl-27240904

RESUMO

In this work, we are reporting a very simple and efficient method to form lamellar structures of symmetric polystyrene-block-poly(4-vinylpyridine) (PS-b-P4VP) copolymer thin films with vertically (to the surface plane) orientated lamellae using a solvent annealing approach. The methodology does not require any brush chemistry to engineer a neutral surface and it is the block neutral nature of the film-solvent vapour interface that defines the orientation of the lamellae. The microphase separated structure of two different molecular weight lamellar forming PS-block-P4VP copolymers formed under solvent vapour annealing was monitored using atomic force microscopy (AFM) so as to understand the morphological changes of the films upon different solvent exposure. In particular, the morphology changes from micellar structures to well-defined microphase separated arrangements. The choice of solvent/s (single and dual solvent exposure) and the solvent annealing conditions (temperature, time etc.) has important effects on structural transitions of the films and it was found that a block neutral solvent was required to realize vertically aligned P4VP lamellae. The results of the structural variation of the phase separated nanostructured films through the exposure to ethanol are also described.

11.
Nanoscale ; 8(4): 2177-87, 2016 Jan 28.
Artigo em Inglês | MEDLINE | ID: mdl-26731306

RESUMO

The use of a low-χ, symmetric block copolymer as an alternative to the high-χ systems currently being translated towards industrial silicon chip manufacture has been demonstrated. Here, the methodology for generating on-chip, etch resistant masks and subsequent pattern transfer to the substrate using ultra-small dimension, lamellar, microphase separated polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block copolymer (BCP) is described. Well-controlled films of a perpendicularly oriented lamellar pattern with a domain size of ∼8 nm were achieved through amplification of an effective interaction parameter (χeff) of the BCP system. The self-assembled films were used as 'templates' for the generation of inorganic oxides nanowire arrays through selective metal ion inclusion and subsequent processing. Inclusion is a significant challenge because the lamellar systems have less chemical and mechanical robustness than the cylinder forming materials. The oxide nanowires of uniform diameter (∼8 nm) were isolated and their structure mimics the original BCP nanopatterns. We demonstrate that these lamellar phase iron oxide nanowire arrays could be used as a resist mask to fabricate densely packed, identical ordered, good fidelity silicon nanowire arrays on the substrate. Possible applications of the materials prepared are discussed, in particular, in the area of photonics and photoluminescence where the properties are found to be similar to those of surface-oxidized silicon nanocrystals and porous silicon.

12.
Adv Mater ; 28(27): 5586-618, 2016 Jul.
Artigo em Inglês | MEDLINE | ID: mdl-26749571

RESUMO

Block copolymers (BCPs) and their directed self-assembly (DSA) has emerged as a realizable complementary tool to aid optical patterning of device elements for future integrated circuit advancements. Methods to enhance BCP etch contrast for DSA application and further potential applications of inorganic nanomaterial features (e.g., semiconductor, dielectric, metal and metal oxide) are examined. Strategies to modify, infiltrate and controllably deposit inorganic materials by utilizing neat self-assembled BCP thin films open a rich design space to fabricate functional features in the nanoscale regime. An understanding and overview on innovative ways for the selective inclusion/infiltration or deposition of inorganic moieties in microphase separated BCP nanopatterns is provided. Early initial inclusion methods in the field and exciting contemporary reports to further augment etch contrast in BCPs for pattern transfer application are described. Specifically, the use of evaporation and sputtering methods, atomic layer deposition, sequential infiltration synthesis, metal-salt inclusion and aqueous metal reduction methodologies forming isolated nanofeatures are highlighted in di-BCP systems. Functionalities and newly reported uses for electronic and non-electronic technologies based on the inherent properties of incorporated inorganic nanostructures using di-BCP templates are highlighted. We outline the potential for extension of incorporation methods to triblock copolymer features for more diverse applications. Challenges and emerging areas of interest for inorganic infiltration of BCPs are also discussed.

13.
ACS Appl Mater Interfaces ; 7(44): 24679-85, 2015 Nov 11.
Artigo em Inglês | MEDLINE | ID: mdl-26466791

RESUMO

We report a noninvasive optical technique based on micro-Raman spectroscopy to study the temperature-dependent phonon behavior of normal (nondefective) and twinned germanium nanowires (Ge-NWs). We studied thermophysical properties of Ge-NWs from Raman spectra, measured by varying excitation laser power at ambient condition. We derived the laser-induced temperature rise during Raman measurements by analyzing the Raman peak position for both the NWs, and for a comparative study we performed the same for bulk Ge. The frequency of the Ge-Ge phonon mode softens for all the samples with the increase in temperature, and the first-order temperature coefficient (χT) for defected NWs is found to be higher than normal NWs and bulk. We demonstrated that apart from the size, the lamellar twinning and polytype phase drastically affect the heat transport properties of NWs.

14.
Sci Rep ; 5: 13270, 2015 Aug 20.
Artigo em Inglês | MEDLINE | ID: mdl-26290188

RESUMO

Block copolymer (BCP) self-assembly is a low-cost means to nanopattern surfaces. Here, we use these nanopatterns to directly print arrays of nanodots onto a conducting substrate (Indium Tin Oxide (ITO) coated glass) for application as an electrochemical sensor for ethanol (EtOH) and hydrogen peroxide (H2O2) detection. The work demonstrates that BCP systems can be used as a highly efficient, flexible methodology for creating functional surfaces of materials. Highly dense iron oxide nanodots arrays that mimicked the original BCP pattern were prepared by an 'insitu' BCP inclusion methodology using poly(styrene)-block-poly(ethylene oxide) (PS-b-PEO). The electrochemical behaviour of these densely packed arrays of iron oxide nanodots fabricated by two different molecular weight PS-b-PEO systems was studied. The dual detection of EtOH and H2O2 was clearly observed. The as-prepared nanodots have good long term thermal and chemical stability at the substrate and demonstrate promising electrocatalytic performance.

15.
J Colloid Interface Sci ; 450: 141-150, 2015 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-25814102

RESUMO

Cylindrical phase polystyrene-b-polyethylene oxide (PS-b-PEO) block copolymer (BCP) was combined with lower molecular weight poly/ethylene glycols at different concentrations and their effect on the microphase separation of BCP thin films were studied. Well-ordered microphase separated, periodic nanostructures were realized using a solvent annealing approach for solution cast thin films. By optimizing solvent exposure time, the nature and concentration of the additives etc. the morphology and orientation of the films can be controlled. The addition of the glycols to PS-b-PEO enables a simple method by which the microdomain spacing of the phase separated BCP can be controlled at dimensions below 50 nm. Most interestingly, the additives results in an expected increase in domain spacing (i.e. pitch size) but in some conditions an unexpected reduction in domain spacing. The pitch size achieved by modification is in the range of 16-31 nm compared to an unmodified BCP system which exhibits a pitch size of 25 nm. The pitch size modification achieved can be explained in terms of chemical structure, solubility parameters, crystallinity and glass transition temperature of the PEO because the additives act as PEO 'stress cracking agents' whereas the PS matrix remains chemically unaffected.

16.
Adv Mater ; 26(8): 1207-16, 2014 Feb 26.
Artigo em Inglês | MEDLINE | ID: mdl-24277486

RESUMO

A simple technique is demonstrated to fabricate horizontal, uniform, and hexagonally arranged Sinanowire arrays with controlled orientation and density at spatially well defined locations on a substrate based on an in situ hard-mask pattern-formation approach by microphase-separated block-copolymer thin films. The technique may have significant application in the manufacture of transistor circuitry.

17.
Nanoscale ; 6(1): 608-15, 2014 Jan 07.
Artigo em Inglês | MEDLINE | ID: mdl-24247546

RESUMO

Doping in ceria (CeO2) nanoparticles with europium (Eu) of varying concentrations (0, 0.1, 0.5, …, 50 atom%) is studied using complementary experimental techniques and novel observations were made during the investigation. The immediate observable effect was a distinct reduction in particle sizes with increasing Eu concentration attributed to the relaxation of strain introduced due to the replacement of Ce(4+) ions by Eu(3+) ions of larger radius. However, this general trend was reversed in the doping concentration range of 0.1-1 atom% due to the reduction of Ce(4+) to Ce(3+) and the formation of anion vacancies. Quantum confinement effects became evident with the increase of band gap energy when the particle sizes reduced below 7-8 nm. Positron annihilation studies indicated the presence of vacancy type defects in the form of vacancy clusters within the nanoparticles. Some positron annihilation was also seen on the surface of crystallites as a result of diffusion of thermalized positrons before annihilation. Coincidence Doppler broadening measurements indicated the annihilation of positrons with electrons of different species of atoms and the characteristic S-W plot showed a kink-like feature at the particle sizes where quantum confinement effects began.

18.
Sci Rep ; 3: 2772, 2013 Sep 27.
Artigo em Inglês | MEDLINE | ID: mdl-24072037

RESUMO

Highly dense hexagonally arranged iron oxide nanodots array were fabricated using PS-b-PEO self-assembled patterns. The copolymer molecular weight, composition and choice of annealing solvent/s allows dimensional and structural control of the nanopatterns at large scale. A mechanism is proposed to create scaffolds through degradation and/or modification of cylindrical domains. A methodology based on selective metal ion inclusion and subsequent processing was used to create iron oxide nanodots array. The nanodots have uniform size and shape and their placement mimics the original self-assembled nanopatterns. For the first time these precisely defined and size selective systems of ordered nanodots allow careful investigation of magnetic properties in dimensions from 50 nm to 10 nm, which delineate the nanodots are superparamagnetic, well-isolated and size monodispersed. This diameter/spacing controlled iron oxide nanodots systems were demonstrated as a resistant mask over silicon to fabricate densely packed, identical ordered, high aspect ratio silicon nanopillars and nanowire features.

19.
Nanoscale ; 4(24): 7743-50, 2012 Dec 21.
Artigo em Inglês | MEDLINE | ID: mdl-23138854

RESUMO

A novel, simple and in situ hard mask technology that can be used to develop high aspect ratio silicon nanopillar and nanowire features on a substrate surface is demonstrated. The technique combines a block copolymer inclusion method that generates nanodot arrays on substrate and an inductively coupled plasma (ICP) etch processing step to fabricate Si nanopillar and nanowire arrays. Iron oxide was found to be an excellent resistant mask over silicon under the selected etching conditions. Features of a very high aspect ratio can be created by this method. The nanopillars have uniform diameter and smooth sidewalls throughout their entire length. The diameter (15-27 nm) and length of the nanopillars can be tuned easily. Different spectroscopic and microscopic techniques were used to examine the morphology and size, surface composition and crystallinity of the resultant patterns. The methodology developed may have important technological applications and provide an inexpensive manufacturing route to nanodimensioned topographical patterns. The high aspect ratio of the features may have importance in the area of photonics and the photoluminescence properties are found to be similar to those of surface-oxidized silicon nanocrystals and porous silicon.

20.
Adv Mater ; 24(18): 2390-7, 2012 May 08.
Artigo em Inglês | MEDLINE | ID: mdl-22488935

RESUMO

Highly dense hexagonal ordered arrays of superparamagnetic iron oxides nanodots are fabricated by a simple and cost-effective route. Spectroscopic, microscopic and magnetic measurements show that the nanodots have uniform size, shape and their placement mimics the original self-assembled block copolymer pattern. The nanodots show good thermal stability and strong adherence to the substrate surface, making them useful for practical device applications.


Assuntos
Compostos Férricos/química , Magnetismo , Nanoestruturas/química , Polímeros/química , Microscopia de Força Atômica , Nanoestruturas/ultraestrutura , Polietilenoglicóis/química , Poliestirenos/química , Espectroscopia de Infravermelho com Transformada de Fourier
SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA