Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 2 de 2
Filtrar
Mais filtros

Base de dados
Ano de publicação
Tipo de documento
Assunto da revista
Intervalo de ano de publicação
1.
J Nanosci Nanotechnol ; 19(10): 6463-6467, 2019 Oct 01.
Artigo em Inglês | MEDLINE | ID: mdl-31026978

RESUMO

For the successful implementation of extreme ultraviolet lithography (EUVL) into high-volume manufacturing, the development of a novel structure mask for resolution improvement is essential. In this paper, coherent scattering microscopy (CSM) is introduced as an actinic metrology technique based on coherent diffractive imaging (CDI) for EUV mask development. CDI reconstructs the mask image using diffraction patterns from the mask through mathematical calculations. CSM can analyze details of an EUV mask such as its diffraction efficiency and phase information.

2.
Opt Express ; 24(11): 12055-62, 2016 May 30.
Artigo em Inglês | MEDLINE | ID: mdl-27410126

RESUMO

The imaging performance of a half-tone phase shift mask (PSM) has been analyzed using coherent scattering microscopy (CSM), which allows analysis of the actinic characteristics of an extreme ultraviolet (EUV) mask such as its reflectivity, diffraction efficiency, and phase information. This paper presents the 1st experimental result showing the effect of 180° phase difference between the absorber and reflector in EUV mask. This reveals that a PSM offers a 46% improvement in 1st/0th diffraction efficiency and 14% improvement in image contrast when compared to a binary intensity mask (BIM). The horizontal-vertical critical dimension (H-V CD) bias is also reduced by 1.37 nm at 22 nm line and space (L/S) patterns. Since the performance of PSM can be evaluated without a wafer patterning process, CSM is expected to be a useful inspection tool for the development of novel EUV masks.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA