Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 40
Filtrar
Más filtros

Banco de datos
Tipo del documento
País de afiliación
Intervalo de año de publicación
1.
Opt Express ; 32(9): 15993-16003, 2024 Apr 22.
Artículo en Inglés | MEDLINE | ID: mdl-38859237

RESUMEN

As the semiconductor technology node continues to shrink, achieving smaller critical dimension in lithography becomes increasingly challenging. Negative tone development (NTD) process is widely employed in advanced node due to their large process window. However, the unique characteristics of NTD, such as shrinkage effect, make the NTD resist model calibration more complex. Gradient descent (GD) and heuristic methods have been applied for calibration of NTD resist model. Nevertheless, these methods depend on initial parameter selection and tend to fall into local optima, resulting in poor accuracy of the NTD model and massive computational time. In this paper, we propose cluster sampling and scalable Bayesian optimization (BO) with constraints method for NTD resist model calibration. This approach utilizes cluster sampling strategy to enhance the capability for global initial sampling and employs scalable BO with constraints for global optimization of high-dimensional parameter space. With this approach, the calibration accuracy is significantly enhanced in comparison with results from GD and heuristic methods, and the computational efficiency is substantially improved compared with GD. By gearing up cluster sampling strategy and scalable BO with constraints, this method offers a new and efficient resist model calibration.

2.
Opt Express ; 32(4): 5323-5338, 2024 Feb 12.
Artículo en Inglés | MEDLINE | ID: mdl-38439262

RESUMEN

Lithography is one of the most critical processes in the manufacturing of micro- and nano-devices. As device critical dimensions continue to shrink, variations in system parameters during the lithography process often result in heavy deviations from the intended targets, making control of these parameters crucial to ensure that lithography results meet process requirements. Gaining a thorough comprehension of how various parameters interact and contribute to lithography errors is significant, and it is equally important to offer precise suggestions for managing these parameters in extreme ultraviolet lithography (EUVL) scanners. This paper analyzes the key physical factors in the light source, illumination system and projection system of EUVL scanners and proposes what we believe to be a new methodology of budget analysis utilizing the superposition of light intensity fluctuations. Then the corresponding characteristics of light intensity fluctuations are analyzed when these parameters have fluctuated through theoretical formula derivation. A mapping model was established between parameter fluctuations and imaging outcomes through the distribution of light intensity. The yield requirements for critical dimension and pattern shift in EUVL are used to determine the exact budget range for each parameter in the proposed methodology. By controlling the parameters according to the budget analysis method proposed in this paper, the deviation between the experimental results from the yield requirements is no more than 0.1% in average. This approach allows for dynamic updating of the control range of relevant parameters based on their distinct characteristics to accommodate the unique fingerprints of various EUVL scanners. Furthermore, based on this adaptive budget range of multiple parameters, it can offer distinct direction for the development of lithography equipment or serve as a clear indication for parameter monitoring.

3.
Opt Lett ; 49(13): 3604-3607, 2024 Jul 01.
Artículo en Inglés | MEDLINE | ID: mdl-38950220

RESUMEN

Beyond extreme ultraviolet (BEUV) lithography with a 6 × nm wavelength is regarded as a future technique to continue the pattern shirking in integrated circuit (IC) manufacturing. This work proposes an optimization method for the mask structure to improve the imaging quality of BEUV lithography. Firstly, the structure of mask multilayers is optimized to maximize its reflection coefficient. Then, a mask diffraction near-field (DNF) model is established based on the Born series algorithm, and the aerial image of BEUV lithography system can be further calculated. Additionally, the mask absorber structure is inversely designed using the particle swarm optimization (PSO) algorithm. Simulation results show a significant improvement of the BEUV lithography imaging obtained by the proposed optimization methods. The proposed workflow can also be expanded to areas of EUV and soft x ray imaging.

4.
Appl Opt ; 63(10): 2719-2727, 2024 Apr 01.
Artículo en Inglés | MEDLINE | ID: mdl-38568557

RESUMEN

Optical proximity correction (OPC) has become an indispensable step in integrated circuit manufacturing. It requires a huge amount of calculation to obtain a sufficiently accurate OPC model and implement pattern correction. In this paper, the authors proposed an edge-based OPC method built on a vector imaging model, where the analytical correlation between the cost function and movement of each edge segment is established by the chain rule. First, the mask pattern is segmented and downsampled to get the mask image in order to reduce the total data. Second, the aerial image, various parameters on each evaluating point, and the final cost value are obtained in proper sequence. In each part of the OPC process, the procedures of solution and derivation are both recorded. After obtaining the cost value, the chain rule is applied, by which the differential relation between the cost value and movement of each segment is built. According to this differential relation, the next movement of each segment is decided under a quasi-Newton method. All results obtained by the proposed method are compared with results from commercial software. The comparison shows that the proposed OPC method has good OPC accuracy in few iterations.

5.
Appl Opt ; 63(9): 2263-2270, 2024 Mar 20.
Artículo en Inglés | MEDLINE | ID: mdl-38568581

RESUMEN

The attenuated phase-shift mask (Att. PSM) is proven to be a promising resolution enhancement technology (RET) to improve the imaging performance in extreme ultraviolet (EUV) lithography. However, due to the reflective nature of the mask structure, the serious shadowing effect can affect the diffraction near field of the mask intensely and further impact the lithography imaging. With the purpose of improving the contrast of lithography imaging, a novel structure of the Att. PSM, to the best of our knowledge, is proposed in this paper. By introducing an absorbent sidewall along the edge of the mask absorber, the diffraction and shadowing effects can be mitigated. By applying the Kirchhoff approximation of mask diffraction, the ability of the novel structure to improve imaging performance is theoretically analyzed. Additionally, these analyses are confirmed by rigorous lithography simulations. The simulation results demonstrate that the proposed mask structure can improve the imaging contrast of EUV lithography, which has potential usage in advanced integrated circuit (IC) manufacturing.

6.
Appl Opt ; 63(2): 499-505, 2024 Jan 10.
Artículo en Inglés | MEDLINE | ID: mdl-38227247

RESUMEN

Surface plasmon lithography (SPL) has emerged as an innovative approach to nano-fabrication, offering an alternative to traditional patterning methods. To enhance its pattern fidelity in manufacturing, it is essential to incorporate mask correction to reduce critical dimension (CD) errors between the intended target features and the photoresist image. Traditionally, the aerial image of SPL has been modeled and simulated using methods such as finite difference time domain (FDTD) or rigorous coupled wave analysis (RCWA). These models have allowed us to obtain aerial images of the mask patterns. However, relying solely on the aerial image proves insufficient for meeting the rigorous manufacturing standards for mask correction. In our research, we propose a comprehensive model that combines the optical model, employing the FDTD method, and the resist model, tailored to the specific surface plasmon lithography process. Test patterns were meticulously designed with a target CD of 130 nm, and the model was applied to simulate these test patterns, producing the after-development image (ADI) under predefined process conditions. Following a thorough analysis and data processing of the test patterns and ADI data, we established rule tables for the correction of both 1D line patterns and line end patterns. The simulation results unequivocally demonstrate the improved CD error performance achieved by the post-corrected patterns.

7.
Opt Express ; 31(14): 22358-22371, 2023 Jul 03.
Artículo en Inglés | MEDLINE | ID: mdl-37475348

RESUMEN

Vibrations of the reticle and wafer stage are inevitable due to the high speed and acceleration required during the exposure movement of the lithography system. Previous studies have shown that these vibrations have an impact on both overlay and imaging quality. Furthermore, as the integrated circuit industry continues to develop and extreme ultraviolet (EUV) lithography is increasingly utilized, the size of the exposure image continues to decrease, making the stability of the reticle and wafer stage motion increasingly important. This paper establishes a model of the reticle and wafer stage motion under the influence of vibration based on the advanced process node of EUV lithography. We investigate the relationship between variations in vibration amplitude and frequency and their effects on imaging contrast and line edge roughness (LER). Additionally, we simulate the quantitative relationship between the vibration of the reticle and wafer stage and the imaging quality of through-pitch line/space structures, tip-to-tip (T2T) structures, and tip-to-line (T2L) structures under extreme exposure conditions of EUV lithography using a computer.

8.
Opt Express ; 31(12): 20321-20337, 2023 Jun 05.
Artículo en Inglés | MEDLINE | ID: mdl-37381429

RESUMEN

The simulation of thick-mask diffraction near-field (DNF) is an indispensable process in aerial image calculation of immersion lithography. In practical lithography tools, the partially coherent illumination (PCI) is applied since it can improve the pattern fidelity. Therefore, it is necessary to precisely simulate the DNFs under PCI. In this paper, a learning-based thick-mask model proposed in our previous work is extended from the coherent illumination condition to PCI condition. The training library of DNF under oblique illumination is established based on the rigorous electromagnetic field (EMF) simulator. The simulation accuracy of the proposed model is also analyzed based on the mask patterns with different critical dimensions (CD). The proposed thick-mask model is shown to obtain high-precise DNF simulation results under PCI, and thus is suitable for 14 nm or larger technology nodes. Meanwhile, the computational efficiency of the proposed model is improved up to two orders of magnitude compared to the EMF simulator.

9.
Opt Express ; 31(1): 192-210, 2023 Jan 02.
Artículo en Inglés | MEDLINE | ID: mdl-36606960

RESUMEN

Plasmonic lithography can make the evanescent wave at the mask be resonantly amplified by exciting surface plasmon polaritons (SPPs) and participate in imaging, which breaks through the diffraction limit in conventional lithography. It provides a reliable technical way for the study of low-cost, large-area and efficient nanolithography technology. This paper introduces the characteristics of plasmonic lithography, the similarities and the differences with traditional DUV projection lithography. By comparing and analyzing the already existed fast imaging model of mask diffraction near-field (DNF) of DUV/EUV lithography, this paper introduces the decomposition machine learning method of mask diffraction near-field into the fast imaging of plasmonic lithography. A fast imaging model of plasmonic lithography for arbitrary two-dimensional pattern is proposed for the first time. This model enables fast imaging of the input binary 0&1 matrix of the mask directly to the light intensity distribution of photoresist image (PRI). The illumination method employs the normal incidence with x polarization, the normal incidence with y polarization and the quadrupole illumination with TM polarization respectively. The error and the operating efficiency between this fast imaging model and the rigorous electromagnetic model is compared. The test results show that compared with the rigorous electromagnetic computation model, the fast imaging model can greatly improve the calculation efficiency and maintain high accuracy at the same time, which provides great conditions for the development of computational lithography such as SMO/OPC for plasmonic lithography technology.

10.
Opt Express ; 31(22): 36061-36077, 2023 Oct 23.
Artículo en Inglés | MEDLINE | ID: mdl-38017764

RESUMEN

This paper reminds the principle and characteristics of plasmonic lithography, and points out the importance of establishing a fast and high precision plasmonic lithography imaging model and developing computational lithography. According to the characteristics of plasmonic lithography, the rigorous coupled-wave analysis (RCWA) algorithm is a very suitable alternative algorithm. In this paper, a three-dimensional plasmonic lithography model based on RCWA algorithm is established for computational lithography requirements. This model improves the existing RCWA algorithm, that is, deduces the formula for calculating the light field inside the structure and proposes the integration, storage and invocation of the scattering matrix to improve the computation speed. Finally, the results are compared with commercial software for the two typical patterns. The results show that the two calculation results are very close, with the root mean square error (RMSE) less than 0.04 (V/m)2. In addition, the calculation speed can be increased by more than 2 times in the first calculation, and by about 8 times by integrating, storing and invoking the scattering matrix, which creates conditions for the development of plasmonic computational lithography.

11.
Appl Opt ; 62(4): 927-932, 2023 Feb 01.
Artículo en Inglés | MEDLINE | ID: mdl-36821146

RESUMEN

The critical dimension (CD), roughness, and sensitivity are extremely significant indicators for evaluating the imaging performance of photoresists in extreme ultraviolet lithography. As the CD gradually shrinks, tighter indicator control is required for high fidelity imaging. However, current research primarily focuses on the optimization of one indicator of one-dimensional line patterns, and little attention has been paid to two-dimensional patterns. Here, we report an image quality optimization method of two-dimensional contact holes. This method takes horizontal and vertical contact widths, contact edge roughness, and sensitivity as evaluation indicators, and uses machine learning to establish the corresponding relationship between process parameters and each indicator. Then, the simulated annealing algorithm is applied to search for the optimal process parameters, and finally, a set of process parameters with optimum image quality is obtained. Rigorous imaging results of lithography demonstrate that this method has very high optimization accuracy and can improve the overall performance of the device, dramatically accelerating the development of the lithography process.

12.
Appl Opt ; 62(32): 8702, 2023 Nov 10.
Artículo en Inglés | MEDLINE | ID: mdl-38037988

RESUMEN

This publisher's note contains corrections to Appl. Opt.61, 3924 (2022)APOPAI0003-693510.1364/AO.454357.

13.
Appl Opt ; 62(27): 7270-7279, 2023 Sep 20.
Artículo en Inglés | MEDLINE | ID: mdl-37855584

RESUMEN

By analyzing the impact of aberration in an extreme ultraviolet lithography projector on the imaging indicators of the test patterns for a contact layer in a 5 nm technology node, this paper establishes a mathematical aberration model based on the back propagating neutral network. On the basis of an aberration model, a method for estimating the aberration budget is proposed, which can help reduce the difficulty of achieving imaging performance thresholds in actual production. The performance of the results given by this method is verified by using a rigorous simulation. The results show that the model is highly accurate in predicting an aberration distribution that meets the requirements through an inverse sensitivity analysis and can calculate the wavefront aberration margin based on imaging indicators.

14.
Appl Opt ; 62(15): 3839-3847, 2023 May 20.
Artículo en Inglés | MEDLINE | ID: mdl-37706692

RESUMEN

Different from traditional lithography, metal material with high absorptivity and high reflectivity is introduced into plasmonic lithography technology. In particular, a silver/photo resist/silver film stack can form a Fabry-Perot (F-P) resonator structure, which can greatly change the behavior of the light reflection and transmission. Since the silver layer has a strong absorption ability to the alignment probe light with a wavelength of 532 or 633 nm, the quality of the alignment signal is seriously affected. In this paper, a thin film Fourier transfer model is established to quantitatively calculate the amplitude and phase information of the diffraction light with different orders. The results show that the diffraction optical power can be enhanced by the thickness optimization of all film stacks, and the maximum wafer quality (normalized diffraction efficiency) can be increased to 25.7%. The mechanism analysis of alignment signal enhancement is based on the F-P resonator phase oscillation amplification effect. However, it can also bring the reverse of both the power and phase for the alignment probe signal when the thickness fluctuation of the F-P resonator exists, which will be a great challenge for through-the-mask moiré fringe alignment technology. To obtain the optical power distribution of the structure surface and image of moiré fringes, a transfer matrix method is given to point-by-point calculate the incidence and reflection of the probe light in the vertical direction. The finite-difference time-domain method is also used to demonstrate alignment performance. It is proved that the subtle fluctuation of the photoresist thickness can make a huge difference to moiré fringes. A balance between the diffraction efficiency and process robustness can be achieved for plasmonic lithographic alignment technology by controlling the thickness range of the F-P resonator structure. In addition, the metal-insulator-metal structure has excellent thickness sensitivity and is applicable to optical signal detection and material property monitoring.

15.
Appl Opt ; 62(25): 6561-6570, 2023 Sep 01.
Artículo en Inglés | MEDLINE | ID: mdl-37706786

RESUMEN

Calculating the diffraction near field (DNF) of a three-dimensional (3D) mask is a key problem in the extreme ultraviolet (EUV) lithography imaging modeling. This paper proposes a fast DNF model of an EUV mask based on the asymmetric patch data fitting method. Due to the asymmetric imaging characteristics of the EUV lithography system, a DNF library is built up including the training mask patches posed in different orientations and their rigorous DNF results. These training patches include some representative local mask features such as the convex corners, concave corners, and edge segments in four directions. Then, a convolution-based compact model is developed to rapidly simulate the DNFs of 3D masks, where the convolution kernels are inversely calculated to fit all of the training data. Finally, the proposed model is verified by simulation experiments. Compared to a state-of-the-art EUV mask model based on machine learning, the proposed method can further reduce the computation time by 60%-70% and roughly obtain the same simulation accuracy.

16.
Appl Opt ; 62(11): 2892-2898, 2023 Apr 10.
Artículo en Inglés | MEDLINE | ID: mdl-37133133

RESUMEN

Resolution, line edge/width roughness, and sensitivity (RLS) are critical indicators for evaluating the imaging performance of resists. As the technology node gradually shrinks, stricter indicator control is required for high-resolution imaging. However, current research can improve only part of the RLS indicators of resists for line patterns, and it is difficult to improve the overall imaging performance of resists in extreme ultraviolet lithography. Here, we report a lithographic process optimization system of line patterns, where RLS models are first established by adopting a machine learning method, and then these models are optimized using a simulated annealing algorithm. Finally, the process parameter combination with optimal imaging quality of line patterns can be obtained. This system can control resist RLS indicators, and it exhibits high optimization accuracy, which facilitates the reduction of process optimization time and cost and accelerates the development of the lithography process.

17.
Appl Opt ; 62(27): 7216-7225, 2023 Sep 20.
Artículo en Inglés | MEDLINE | ID: mdl-37855577

RESUMEN

Design technology co-optimization (DTCO) is a potential approach to tackle the escalating expenses and complexities associated with pitch scaling. This strategy offers a promising solution by minimizing the required design dimensions and mitigating the pitch scaling trend. It is worth noting that lithography has played a significant role in dimensional scaling over time. This paper proposes a DTCO flow to reduce the impact of the process variation (PV) band and edge placement error (EPE). First, we performed the digital back-end design of the high-performance processor and got the test layout; second, we executed timing analysis on the test layout to get the critical path net that affects the chip performance; third, we proposed the timing-aware optimized optical proximity correction (OPC) method to optimize the PV band and EPE by adjusting the weights of critical path net merit points, optimizing the generation of the sub-resolution assistant feature, giving tighter EPE specs for merit points on the critical path net, and placing denser merit points as well as denser breakpoints for the critical path net to obtain greater freedom in the OPC process. Finally, it is verified that our proposed DTCO process can significantly reduce the EPE and lead to a slight decrease in the PV band of the chip while maintaining the same process windows.

18.
Opt Express ; 30(12): 20589-20604, 2022 Jun 06.
Artículo en Inglés | MEDLINE | ID: mdl-36224800

RESUMEN

Plasmonic lithography, which exploits a bowtie nanoaperture (BNA) for the purpose of subwavelength near-field focusing, has the capability of high-resolution patterning. However, the ultra-small feature size is achieved at the price of sharply decay of the surface plasmon waves (SPWs) in the photoresist (PR) layer, which directly leads to some unfavorable patterning issues, such as non-uniformity and shallow pattern depth even over small exposure areas. In this work, a special hybrid plasmonic waveguide (HPW) patterning system, which is composed of the plasmonic BNA-PR layer-silver reflector, is designed to facilitate high spatial frequency selection and amplify the evanescent field in the PR layer. Theoretical calculations indicate that the antisymmetric coupled SPWs and plasmonic waveguide modes excited by the HPW structure can remove the exponential decay and ensure uniform exposure over the entire depth of the PR layer. Importantly, the hyperbolic decaying characteristic of the SPWs in the PR layer plays a noticeable role in the improvement of achievable resolution, depth-of-field, and line array pattern profile. It is worth to note that the uniform periodic patterns in sub-20 nm feature can be achieved with high aspect ratio. Additionally, further numerical simulation results are presented to demonstrate the achievement of spatial frequency selection of high-k mode in HPW structure by controlling the PR thickness and gap size. Our findings may provide a new perspective on the manufacture of surface nanostructures and broaden the potential promising applications of plasmonic lithography in nanoscale patterning.

19.
Opt Express ; 30(7): 11944-11958, 2022 Mar 28.
Artículo en Inglés | MEDLINE | ID: mdl-35473126

RESUMEN

The effects of thick-mask and oblique incidence in extreme ultraviolet (EUV) lithography system make the aerial image calculation a challenging task. This paper develops a fast EUV lithography aerial image model based on a new kind of deep learning framework called adjoint fully convolutional network (AFCN). The AFCN consists of two adjoint data paths to respectively recover the real part and imaginary part of the complex mask diffraction-near-field (DNF). The feature-swapping technique is introduced to exchange the information between the real and imaginary data paths. The AFCN is trained based on a pre-calculated rigorous thick-mask DNF dataset. Given the estimated thick-mask DNF, the Abbe's method is used to calculate the aerial image of the partially coherent lithography system. Compared to the traditional non-parametric kernel regression method, the proposed model reduces the error by more than 80% and achieves 25-fold improvement in computational efficiency.

20.
Opt Express ; 30(11): 17680-17697, 2022 May 23.
Artículo en Inglés | MEDLINE | ID: mdl-36221585

RESUMEN

The thick-mask model had been used to simulate the diffraction behavior of the three-dimensional photomask in optical lithography system. By exploring the edge interference effect that appears in the diffraction near-field (DNF), an improved thick-mask model with high precision is proposed. The diffraction transfer matrix (DTM) is introduced to represent the transformation from the layout pattern to the corresponding DNF. In this method, the DTM is learned from a training library including the rigorous DNF of some representative mask clips. Given a thick-mask pattern, it is firstly decomposed into a set of segments around the sampling points at corners and edges. Then, the local DNF of each segment is calculated based on the corresponding DTM. Finally, all the local DNF segments are synthesized together to simulate the entire thick-mask DNF. The results show that the proposed method can significantly improve the simulation accuracy compared to the traditional filter-based method, meanwhile retaining a high computation speed.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA