Your browser doesn't support javascript.
loading
BioThreads: a novel VLIW-based chip multiprocessor for accelerating biomedical image processing applications.
Stevens, David; Chouliaras, Vassilios; Azorin-Peris, Vicente; Zheng, Jia; Echiadis, Angelos; Hu, Sijung.
Afiliação
  • Stevens D; Department of Electrical Engineering, Loughborough University, Leicestershire LE11 3TU, UK.
IEEE Trans Biomed Circuits Syst ; 6(3): 257-68, 2012 Jun.
Article em En | MEDLINE | ID: mdl-23853147
ABSTRACT
We discuss BioThreads, a novel, configurable, extensible system-on-chip multiprocessor and its use in accelerating biomedical signal processing applications such as imaging photoplethysmography (IPPG). BioThreads is derived from the LE1 open-source VLIW chip multiprocessor and efficiently handles instruction, data and thread-level parallelism. In addition, it supports a novel mechanism for the dynamic creation, and allocation of software threads to uncommitted processor cores by implementing key POSIX Threads primitives directly in hardware, as custom instructions. In this study, the BioThreads core is used to accelerate the calculation of the oxygen saturation map of living tissue in an experimental setup consisting of a high speed image acquisition system, connected to an FPGA board and to a host system. Results demonstrate near-linear acceleration of the core kernels of the target blood perfusion assessment with increasing number of hardware threads. The BioThreads processor was implemented on both standard-cell and FPGA technologies; in the first case and for an issue width of two, full real-time performance is achieved with 4 cores whereas on a mid-range Xilinx Virtex6 device this is achieved with 10 dual-issue cores. An 8-core LE1 VLIW FPGA prototype of the system achieved 240 times faster execution time than the scalar Microblaze processor demonstrating the scalability of the proposed solution to a state-of-the-art FPGA vendor provided soft CPU core.
Assuntos

Texto completo: 1 Coleções: 01-internacional Base de dados: MEDLINE Assunto principal: Processamento de Imagem Assistida por Computador / Fotopletismografia / Eletrônica Médica / Monitorização Fisiológica Limite: Humans Idioma: En Revista: IEEE Trans Biomed Circuits Syst Ano de publicação: 2012 Tipo de documento: Article País de afiliação: Reino Unido

Texto completo: 1 Coleções: 01-internacional Base de dados: MEDLINE Assunto principal: Processamento de Imagem Assistida por Computador / Fotopletismografia / Eletrônica Médica / Monitorização Fisiológica Limite: Humans Idioma: En Revista: IEEE Trans Biomed Circuits Syst Ano de publicação: 2012 Tipo de documento: Article País de afiliação: Reino Unido