Your browser doesn't support javascript.
loading
Hard SyDR: A Benchmarking Environment for Global Navigation Satellite System Algorithms.
Grenier, Antoine; Lei, Jie; Damsgaard, Hans Jakob; Quintana-Ortí, Enrique S; Ometov, Aleksandr; Lohan, Elena Simona; Nurmi, Jari.
Afiliação
  • Grenier A; Electrical Engineering Unit, Tampere University, 33720 Tampere, Finland.
  • Lei J; Parallel Architectures Group, Universitat Politècnica de València, 46010 Valencia, Spain.
  • Damsgaard HJ; Electrical Engineering Unit, Tampere University, 33720 Tampere, Finland.
  • Quintana-Ortí ES; Parallel Architectures Group, Universitat Politècnica de València, 46010 Valencia, Spain.
  • Ometov A; Electrical Engineering Unit, Tampere University, 33720 Tampere, Finland.
  • Lohan ES; Electrical Engineering Unit, Tampere University, 33720 Tampere, Finland.
  • Nurmi J; Electrical Engineering Unit, Tampere University, 33720 Tampere, Finland.
Sensors (Basel) ; 24(2)2024 Jan 09.
Article em En | MEDLINE | ID: mdl-38257502
ABSTRACT
A Global Navigation Satellite System (GNSS) is widely used today for both positioning and timing purposes. Many distinct receiver chips are available as Application-Specific Integrated Circuit (ASIC)s off-the-shelf, each tailored to the requirements of various applications. These chips deliver good performance and low energy consumption but offer customers little-to-no transparency about their internal features. This prevents modification, research in GNSS processing chain enhancement (e.g., application of Approximate Computing (AxC) techniques), and design space exploration to find the optimal receiver for a use case. In this paper, we review the GNSS processing chain using SyDR, our open-source GNSS Software-Defined Radio (SDR) designed for algorithm benchmarking, and highlight the limitations of a software-only environment. In return, we propose an evolution to our system, called Hard SyDR to become closer to the hardware layer and access new Key Performance Indicator (KPI)s, such as power/energy consumption and resource utilization. We use High-Level Synthesis (HLS) and the PYNQ platform to ease our development process and provide an overview of their advantages/limitations in our project. Finally, we evaluate the foreseen developments, including how this work can serve as the foundation for an exploration of AxC techniques in future low-power GNSS receivers.
Palavras-chave

Texto completo: 1 Coleções: 01-internacional Base de dados: MEDLINE Idioma: En Revista: Sensors (Basel) Ano de publicação: 2024 Tipo de documento: Article País de afiliação: Finlândia

Texto completo: 1 Coleções: 01-internacional Base de dados: MEDLINE Idioma: En Revista: Sensors (Basel) Ano de publicação: 2024 Tipo de documento: Article País de afiliação: Finlândia