Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 39
Filtrar
1.
Nano Lett ; 21(12): 5301-5307, 2021 Jun 23.
Artículo en Inglés | MEDLINE | ID: mdl-34096736

RESUMEN

The allotropic affinity for bulk silicon and unique electronic and optical properties make silicene a promising candidate for future high-performance devices compatible with mature complementary metal-oxide-semiconductor technology. However, silicene's outstanding properties are not preserved on its most prominent growth templates, due to strong substrate interactions and hybridization effects. In this letter, we report the optical properties of silicene epitaxially grown on Au(111). A novel in situ passivation methodology with few-layer hexagonal boron nitride enables detailed ex situ characterization at ambient conditions via µ-Raman spectroscopy and reflectance measurements. The optical properties of silicene on Au(111) appeared to be in accordance with the characteristics predicted theoretically for freestanding silicene, allowing the conclusion that its prominent electronic properties are preserved. The absorption features are, however, modified by many-body effects induced by the Au substrate due to an increased screening of electron-hole interactions.

2.
Nano Lett ; 18(8): 5030-5035, 2018 08 08.
Artículo en Inglés | MEDLINE | ID: mdl-29995430

RESUMEN

We report an experimental study on quasi-one-dimensional Al-Ge-Al nanowire (NW) heterostructures featuring unmatched photoconductive gains exceeding 107 and responsivities as high as 10 A/µW in the visible wavelength regime. Our observations are attributed to the presence of GeO x related hole-trapping states at the NW surface and can be described by a photogating effect in accordance with previous studies on low-dimensional nanostructures. Utilizing an ultrascaled photodetector device operating in the quantum ballistic transport regime at room temperature we demonstrate for the first time that individual current channels can be addressed directly by laser irradiation. The resulting quantization of the photocurrent represents the ultimate limit of photodetectors, allowing for advanced concepts including highly resolved imaging, light effect transistors and single photon detectors with practically zero off-state current.

3.
Nano Lett ; 17(8): 4556-4561, 2017 08 09.
Artículo en Inglés | MEDLINE | ID: mdl-28735546

RESUMEN

Conductance quantization at room temperature is a key requirement for the utilizing of ballistic transport for, e.g., high-performance, low-power dissipating transistors operating at the upper limit of "on"-state conductance or multivalued logic gates. So far, studying conductance quantization has been restricted to high-mobility materials at ultralow temperatures and requires sophisticated nanostructure formation techniques and precise lithography for contact formation. Utilizing a thermally induced exchange reaction between single-crystalline Ge nanowires and Al pads, we achieved monolithic Al-Ge-Al NW heterostructures with ultrasmall Ge segments contacted by self-aligned quasi one-dimensional crystalline Al leads. By integration in electrostatically modulated back-gated field-effect transistors, we demonstrate the first experimental observation of room temperature quantum ballistic transport in Ge, favorable for integration in complementary metal-oxide-semiconductor platform technology.

4.
Nano Lett ; 16(6): 3507-13, 2016 06 08.
Artículo en Inglés | MEDLINE | ID: mdl-27168031

RESUMEN

In this letter, we demonstrate the formation of unique Ga/GaAs/Si nanowire heterostructures, which were successfully implemented in nanoscale light-emitting devices with visible room temperature electroluminescence. Based on our recent approach for the integration of InAs/Si heterostructures into Si nanowires by ion implantation and flash lamp annealing, we developed a routine that has proven to be suitable for the monolithic integration of GaAs nanocrystallite segments into the core of silicon nanowires. The formation of a Ga segment adjacent to longer GaAs nanocrystallites resulted in Schottky-diode-like I/V characteristics with distinct electroluminescence originating from the GaAs nanocrystallite for the nanowire device operated in the reverse breakdown regime. The observed electroluminescence was ascribed to radiative band-to-band recombinations resulting in distinct emission peaks and a low contribution due to intraband transition, which were also observed under forward bias. Simulations of the obtained nanowire heterostructure confirmed the proposed impact ionization process responsible for hot carrier luminescence. This approach may enable a new route for on-chip photonic devices used for light emission or detection purposes.

5.
Nanotechnology ; 27(38): 385704, 2016 Sep 23.
Artículo en Inglés | MEDLINE | ID: mdl-27533003

RESUMEN

Single-crystal Al nanowires (NWs) were fabricated by thermally induced substitution of vapor-liquid-solid grown Ge NWs by Al. The resistivity of the crystalline Al (c-Al) NWs was determined to be ρ = (131 ± 27) × 10(-9) Ω m, i.e. approximately five times higher than for bulk Al, but they withstand remarkably high current densities of up to 1.78 × 10(12) A m(-2) before they ultimately melt due to Joule heating. The maximum current density before failure correlates with the NW diameter, with thinner NWs tolerating significantly higher current densities due to efficient heat dissipation and the reduced lattice heating in structures smaller than the electron-phonon scattering length. The outstanding current-carrying capacity of the c-Al NWs clearly exceeds those of common conductors and surpasses requirements for metallization of future high-performance devices. The linear temperature coefficient of the resistance of c-Al NWs appeared to be lower than for bulk Al and a transition to a superconducting state in c-Al NWs was observed at a temperature of 1.46 K.

6.
Nano Lett ; 15(3): 1780-5, 2015 Mar 11.
Artículo en Inglés | MEDLINE | ID: mdl-25651106

RESUMEN

Although the various effects of strain on silicon are subject of intensive research since the 1950s the physical background of anomalous piezoresistive effects in Si nanowires (NWs) is still under debate. Recent investigations concur in that due to the high surface-to-volume ratio extrinsic surface related effects superimpose the intrinsic piezoresistive properties of nanostructures. To clarify this interplay of piezoresistive effects and stress related surface potential modifications, we explored a particular tensile straining device (TSD) with a monolithic embedded vapor-liquid-solid (VLS) grown Si NW. Integrating the suspended NW in a gate all around (GAA) field effect transistor (FET) configuration with a transparent gate stack enables optical and field modulated electrical characterization under high uniaxial tensile strain applied along the ⟨111⟩ Si NW growth direction. A model based on stress-induced carrier mobility change and surface charge modulation is proposed to interpret the actual piezoresistive behavior of Si NWs. By controlling the nature and density of surface states via passivation the "true" piezoresistance of the NWs is found to be comparable with that of bulk Si. This demonstrates the indispensability of application-specific NW surface conditioning and the modulation capability of Si NWs properties for sensor applications.

7.
Nano Lett ; 15(11): 7514-8, 2015 Nov 11.
Artículo en Inglés | MEDLINE | ID: mdl-26426433

RESUMEN

Electrostatically tunable negative differential resistance (NDR) is demonstrated in monolithic metal-semiconductor-metal (Al-Ge-Al) nanowire (NW) heterostructures integrated in back-gated field-effect transistors (FETs). Unambiguous signatures of NDR even at room temperature are attributed to intervalley electron transfer. At yet higher electric fields, impact ionization leads to an exponential increase of the current in the ⟨111⟩ oriented Ge NW segments. Modulation of the transfer rates, manifested as a large tunability of the peak-to-valley ratio (PVR) and the onset of impact ionization is achieved by the combined influences of electrostatic gating, geometric confinement, and heterojunction shape on hot electron transfer and by electron-electron scattering rates that can be altered by varying the charge carrier concentration in the NW FETs.

8.
J Neurosci Res ; 93(11): 1631-40, 2015 Nov.
Artículo en Inglés | MEDLINE | ID: mdl-26214267

RESUMEN

The regeneration of nerves of the peripheral nervous system after injuries is a complex process. This study presents a novel in vitro neurite regeneration concept to investigate the regeneration of neurons and their processes with different concentrations of neurotrophic factors. The core part of the concept is a transparent microfluidic neurite isolation (NI) device affixed on top of a microelectrode array (MEA), providing a fast and easy way to assess both the growth and the electrical activity of neurites. The NI-MEA isolates neurites from the culture with microchannels that serve as guidance tubes, equipped with microelectrodes. Thus, the NI-MEA allows neurite growth, as observed by microscopy, to be correlated with neurite electrical activity, as measured by electrophysiological recordings. To demonstrate proof of concept of neurite regeneration, we cultured cells from the superior cervical ganglion of postnatal mice under different concentrations of nerve growth factor (NGF). During the regeneration process, we observed an increase in the number of neurites entering the microchannels along with an increase in spike activity recorded by the microelectrodes in the microchannels. We also observed a concentration-dependent effect of neurotrophic factor on the excitability of the growing neurites, with neurites bathed in 20 ng/ml NGF exhibiting enhanced early growth. Thus, our neurite regeneration concept with the NI-MEA device allows further study of neurotrophic factors and reduces the requirement for in vivo experiments on the regeneration of peripheral nerves after injury.


Asunto(s)
Electrofisiología/métodos , Técnicas Analíticas Microfluídicas/instrumentación , Factores de Crecimiento Nervioso/metabolismo , Regeneración Nerviosa/fisiología , Neuritas/metabolismo , Animales , Células Cultivadas , Electrofisiología/instrumentación , Inmunohistoquímica , Técnicas In Vitro , Ratones , Ratones Endogámicos C57BL , Microelectrodos , Factores de Crecimiento Nervioso/farmacología , Regeneración Nerviosa/efectos de los fármacos , Neuritas/efectos de los fármacos , Ganglio Cervical Superior
9.
Nano Lett ; 14(11): 6699-703, 2014 Nov 12.
Artículo en Inglés | MEDLINE | ID: mdl-25303290

RESUMEN

The combined capabilities of both a nonplanar design and nonconventional carrier injection mechanisms are subject to recent scientific investigations to overcome the limitations of silicon metal oxide semiconductor field effect transistors. In this Letter, we present a multimode field effect transistors device using silicon nanowires that feature an axial n-type/intrinsic doping junction. A heterostructural device design is achieved by employing a self-aligned nickel-silicide source contact. The polymorph operation of the dual-gate device enabling the configuration of one p- and two n-type transistor modes is demonstrated. Not only the type but also the carrier injection mode can be altered by appropriate biasing of the two gate terminals or by inverting the drain bias. With a combined band-to-band and Schottky tunneling mechanism, in p-type mode a subthreshold swing as low as 143 mV/dec and an ON/OFF ratio of up to 10(4) is found. As the device operates in forward bias, a nonconventional tunneling transistor is realized, enabling an effective suppression of ambipolarity. Depending on the drain bias, two different n-type modes are distinguishable. The carrier injection is dominated by thermionic emission in forward bias with a maximum ON/OFF ratio of up to 10(7) whereas in reverse bias a Schottky tunneling mechanism dominates the carrier transport.

10.
Nanotechnology ; 25(45): 455705, 2014 Nov 14.
Artículo en Inglés | MEDLINE | ID: mdl-25337772

RESUMEN

In this paper we demonstrate the fabrication and application of an electrostatic actuated tensile straining test (EATEST) device enabling strain engineering in individual suspended nanowires (NWs). Contrary to previously reported approaches, this special setup guarantees the application of pure uniaxial tensile strain with no shear component of the stress while e.g. simultaneously measuring the resistance change of the NW. To demonstrate the potential of this approach we investigated the piezoresistivity of about 3 µm long and 100 nm thick SiNWs but in the same way one can think about the application of such a device on other geometries, other materials beyond Si as well as the use of other characterization techniques beyond electrical measurements. Therefore single-crystal SiNWs were monolithically integrated in a comb drive actuated MEMS device based on a silicon-on-insulator (SOI) wafer using the vapor-liquid-solid (VLS) growth technique. Strain values were verified by a precise measurement of the NW elongation with scanning electron microscopy (SEM). Further we employed confocal µ-Raman microscopy for in situ, high spatial resolution measurements of the strain in individual SiNWs during electrical characterization. A giant piezoresistive effect was observed, resulting in a fivefold increase in conductivity for 3% uniaxially strained SiNWs. As the EATEST approach can be easily integrated into an existing Si technology platform this architecture may pave the way toward a new generation of nonconventional devices by leveraging the strain degree of freedom.

11.
Nanotechnology ; 25(31): 315302, 2014 Aug 08.
Artículo en Inglés | MEDLINE | ID: mdl-25036211

RESUMEN

Ga implantation into Si and reactive ion etching has been previously identified as candidate techniques for the generation of 3D nanopatterns. However, the structures manufactured using these techniques exhibited impedingly high surface roughness. In this work, we investigate the source of roughness and introduce a new patterning process to solve this issue. The novel patterning process introduces an additional layer absorbing the implanted Ga, thus preventing the clustering of the implanted Ga observed with uncoated Si substrates. This process enables 3D nanopatterning with sub-100 nm lateral resolution in conjunction with smooth height transitions and surface roughness down to 4 nm root mean square. Such patterns are ideally suited for optical applications and enable the manufacturing of nanoimprint lithography templates for low-profile Fresnel lenses.

12.
Nanotechnology ; 25(30): 305302, 2014 Aug 01.
Artículo en Inglés | MEDLINE | ID: mdl-25008053

RESUMEN

In this paper, we report on the surface evolution of focused ion beam treated single crystalline Bi(001) with respect to different beam incidence angles and channeling effects. 'Erosive' sputtering appears to be the dominant mechanism at room temperature (RT) and diffusion processes during sputtering seem to play only a minor role for the surface evolution of Bi. The sputtering yield of Bi(001) shows anomalous behavior when increasing the beam incidence angle along particular azimuthal angles of the specimen. The behavior of the sputtering yield could be related to channeling effects and the relevant channeling directions are identified. Dynamic annealing processes during ion irradiation retain the crystalline quality of the Bi specimen allowing ion channeling at RT. Lowering the specimen temperature to T = -188 °C reduces dynamic annealing processes and thereby disables channeling effects. Furthermore unexpected features are observed at normal beam incidence angle. Spike-like features appear during the ion beam induced erosion, whose growth directions are not determined by the ion beam but by the channeling directions of the Bi specimen.

13.
Nanotechnology ; 24(6): 065701, 2013 Feb 15.
Artículo en Inglés | MEDLINE | ID: mdl-23324520

RESUMEN

We explored a noninvasive optical method to determine the Joule heating of individual germanium nanowires. Using confocal µ-Raman spectroscopy, variations in the optical phonon frequency, in detail the downshifting of the first-order Stokes Raman band, are correlated to the temperature increase of vapor-liquid-solid grown germanium nanowires under an applied electrical bias. The germanium nanowires were found to handle high threshold current densities of more than 10(6) A cm(-2) before sustaining immediate deterioration. Failure of single crystalline germanium nanowires was directly observed when the applied electric field reached the breakdown point of 1.25 × 10(5) V cm(-1).

14.
Nanotechnology ; 24(11): 115501, 2013 Mar 22.
Artículo en Inglés | MEDLINE | ID: mdl-23448801

RESUMEN

Insulated atomic force microscopy probes carrying gold conductive tips were fabricated and employed as bifunctional force and current sensors in electrolyte solutions under electrochemical potential control. The application of the probes for current-sensing imaging, force and current-distance spectroscopy as well as scanning electrochemical microscopy experiments was demonstrated.


Asunto(s)
Conductividad Eléctrica , Electroquímica/métodos , Microscopía de Fuerza Atómica/métodos , Microscopía Electrónica de Rastreo , Nanopartículas/ultraestructura , Oxidación-Reducción , Soluciones
15.
Nanotechnology ; 23(49): 495716, 2012 Dec 14.
Artículo en Inglés | MEDLINE | ID: mdl-23165459

RESUMEN

In this paper we demonstrate the anisotropic lithiation of silicon (Si). Therefore specimens with radiating Si beams were selectively covered with pure lithium (Li) and lithiation was investigated at different temperatures. Due to the radial arrangement, Si beams underwent a crystallographic orientation dependent lithiation. The experiments showed up to 40% faster lithiation in (110) crystallographic directions compared to (100) oriented Si, and a temperature dependence of the lithiation propagation with lithiation rates of up to 337 nm s(-1) at 100 °C. These results were reflected in prior observation of (110)-orientated Li-Si dendrites, formed after mechanical contact of Li with a Si device layer of a silicon-on-insulator wafer.


Asunto(s)
Cristalización/métodos , Litio/química , Litio/aislamiento & purificación , Nanoestructuras/química , Nanoestructuras/ultraestructura , Silicio/química , Adsorción , Anisotropía , Sustancias Macromoleculares/química , Ensayo de Materiales , Conformación Molecular , Tamaño de la Partícula , Propiedades de Superficie
16.
Nanotechnology ; 23(43): 435502, 2012 Nov 02.
Artículo en Inglés | MEDLINE | ID: mdl-23060609

RESUMEN

In this paper the formation of antimony (Sb) nanowires (NWs) by a focused Ga ion beam approach and their gas sensing capability is reported. The NWs with uniform diameters of only 25 nm and lengths up to several microns are synthesized at predefined positions at room temperature in an ion beam induced self-assembling process. Then individual Sb-NWs are deposited on insulating substrates and provided with gold electrodes. Subsequently sensing characteristics of individual Sb-NWs are investigated at room temperature for H(2)O, CO, H(2), He, O(2) and ethanol over a wide concentration range. The Sb-NWs exhibit selective sensing properties for ethanol and H(2)O with exceptional sensitivities of more than 17,000 and 60,000, respectively.

17.
Solid State Electron ; 74(5): 7-12, 2012 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-23483756

RESUMEN

The paper addresses the passivation of Germanium surfaces by using layered La2O3/ZrO2 high-k dielectrics deposited by Atomic Layer Deposition to be applied in Ge-based MOSFET devices. Improved electrical properties of these multilayered gate stacks exposed to oxidizing and reducing ambient during thermal post treatment in presence of thin Pt cap layers are demonstrated. The results suggest the formation of thin intermixed La x Ge y O z interfacial layers with thicknesses controllable by oxidation time. This formation is further investigated by XPS, EDX/EELS and TEM analysis. An additional reduction annealing treatment further improves the electrical properties of the gate dielectrics in contact with the Ge substrate. As a result low interface trap densities on (1 0 0) Ge down to 3 × 1011 eV-1 cm-2 are demonstrated. The formation of the high-k La x Ge y O z layer is in agreement with the oxide densification theory and may explain the improved interface trap densities. The scaling potential of the respective layered gate dielectrics used in Ge-based MOS-based device structures to EOT of 1.2 nm or below is discussed. A trade-off between improved interface trap density and a lowered equivalent oxide thickness is found.

18.
Nano Lett ; 11(8): 3108-12, 2011 Aug 10.
Artículo en Inglés | MEDLINE | ID: mdl-21744779

RESUMEN

In this Letter we report the atypical self-activation of gallium (Ga) implanted by focused ion beam (FIB) into germanium nanowires (Ge-NWs). By FIB implantation of 30 keV Ga(+) ions at room temperature, the Ge-NW conductivity increases up to 3 orders of magnitude with increasing ion fluence. Cu(3)Ge heterostructures were formed by diffusion to ensure well-defined contacts to the NW and enable two point I/V measurements. Additional four point measurements prove that the conductivity enhancement emerges from the modification of the wires themselves and not from contact property modifications. The Ga distribution in the implanted Ge-NWs was measured using atom probe tomography. For high ion fluences, and beginning amorphization of the NWs, the conductivity decreases exponentially. Temperature dependent conductivity measurements show strong evidence for an in situ doping of the Ge-NWs without any further annealing. Finally the feasibility of improving the device performance of top-gated Ge-NW MOSFETs by FIB implantation was shown.

19.
ACS Appl Mater Interfaces ; 14(28): 32675-32682, 2022 Jul 20.
Artículo en Inglés | MEDLINE | ID: mdl-35793167

RESUMEN

Silicene is one of the most promising two-dimensional (2D) materials for the realization of next-generation electronic devices, owing to its high carrier mobility and band gap tunability. To fully control its electronic properties, an external electric field needs to be applied perpendicularly to the 2D lattice, thus requiring the deposition of an insulating layer that directly interfaces silicene, without perturbing its bidimensional nature. A promising material candidate is CaF2, which is known to form a quasi van der Waals interface with 2D materials as well as to maintain its insulating properties even at ultrathin scales. Here we investigate the epitaxial growth of thin CaF2 layers on different silicene phases by means of molecular beam epitaxy. Through electron diffraction images, we clearly show that CaF2 can be grown epitaxially on silicene even at low temperatures, with its domains fully aligned to the lattice of the underlying 2D structure. Moreover, in situ X-ray photoelectron spectroscopy data evidence that, upon CaF2 deposition, no changes in the chemical state of the silicon atoms can be detected, proving that no Si-Ca or Si-F bonds are formed. This clearly shows that the 2D layer is pristinely preserved underneath the insulating layer. Polarized Raman experiments show that silicene undergoes a structural change upon interaction with CaF2; however, it retains its two-dimensional character without transitioning to a sp3-hybridized silicon. For the first time, we have shown that CaF2 and silicene can be successfully interfaced, paving the way for the integration of silicon-based 2D materials in functional devices.

20.
Nanotechnology ; 22(1): 015302, 2011 Jan 07.
Artículo en Inglés | MEDLINE | ID: mdl-21135454

RESUMEN

A new approach using focused electron beam induced deposition (FEBID) to deposit catalyst particles is reported for the synthesis of single crystalline silicon nanowires (SiNWs) grown by low pressure chemical vapor deposition (LPCVD). The FEBID deposited gold dot arrays fabricated from an acac-Au(III)-Me(2) precursor were investigated by AFM and EDX. The depositions were found to form a sharp tip and a surrounding halo and consist of only 10 at.% Au. However, SiNWs could be synthesized on the deposited catalyst using the vapor-liquid-solid (VLS) method with a mixture of 2% SiH(4) in He at 520 °C. NW diameters from 30 nm up to 150 nm were fabricated and the dependency of the NW diameter on the FEBID deposition time was observed. TEM analysis of the SiNWs revealed a [110] growth direction independent of the NW diameter. This new method provides a maskless and resistless approach for generating catalyst templates for SiNW synthesis on arbitrary surfaces.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA