Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 85
Filtrar
Más filtros

Bases de datos
País/Región como asunto
Tipo del documento
Intervalo de año de publicación
1.
Small ; : e2402608, 2024 Jun 09.
Artículo en Inglés | MEDLINE | ID: mdl-38853133

RESUMEN

The atomic/molecular layer deposition (ALD/MLD) technique combining both inorganic and organic precursors is strongly emerging as a unique tool to design exciting new functional metal-organic thin-film materials. Here, this method is demonstrated to work even at low deposition temperatures and can produce highly stable and conformal thin films, fulfilling the indispensable prerequisites of today's 3D microelectronics and other potential industrial applications. This new ALD/MLD process is developed for Zn-organic thin films grown from non-pyrophoric bis-3-(N,N-dimethylamino)propyl zinc [Zn(DMP)2] and 1,4-benzene dithiol (BDT) precursors. This process yields air-stable Zn-BDT films with appreciably high growth per cycle (GPC) of 4.5 Å at 60 °C. The Zn/S ratio is determined at 0.5 with Rutherford backscattering spectrometry (RBS), in line with the anticipated (Zn─S─C6H6─S─)n bonding scheme. The high degree of conformality is shown using lateral high-aspect-ratio (LHAR) test substrates; scanning electron microscopy (SEM) analysis shows that the film penetration depth (PD) into the LHAR structure with cavity height of 500 nm is over 200 µm (i.e., aspect-ratio of 400). It is anticipated that the electrically insulating metal-organic Zn-BDT thin films grown via the solvent-free ALD/MLD technique, can be excellent barrier layers for temperature-sensitive and flexible electronic devices.

2.
Chemistry ; : e202401275, 2024 Apr 24.
Artículo en Inglés | MEDLINE | ID: mdl-38656605

RESUMEN

With a focus on Mn based organometallic compounds with suitable physico-chemical properties to serve as precursors for chemical vapor deposition (CVD) and atomic layer deposition (ALD) of Mn-containing materials, systematic synthetic approaches with ligand variation, detailed characterization, and theoretical input from density functional theory (DFT) studies are presented. A series of new homoleptic all-nitrogen and mixed oxygen/nitrogen-coordinated Mn(II) complexes bearing the acetamidinate, formamidinate, guanidinate and ß-ketoiminate ligands have been successfully synthesized for the first time. The specific choice of these ligand classes with changes in structure and coordination sphere and side chain variations result in significant structural differences whereby mononuclear and dinuclear complexes are formed. This was supported by density functional theory (DFT) studies. The compounds were thoroughly characterized by single crystal X-ray diffraction, magnetic measurements, mass spectrometry and elemental analysis. To evaluate their suitability as precursors for deposition of Mn-based materials, the thermal properties were investigated in detail. Mn(II) complexes possessing the most promising thermal properties, namely Bis(N,N'-ditertbutylformamidinato)manganese(II) (IV) and Bis(4-(isopropylamino)pent-3-en-2-onato)manganese(II) (ßIII) were used in reactivity studies with DFT to explore their interaction with oxidizing co-reactants such as oxygen and water which will guide future CVD and ALD process development.

3.
Angew Chem Int Ed Engl ; 63(8): e202312123, 2024 Feb 19.
Artículo en Inglés | MEDLINE | ID: mdl-38010868

RESUMEN

A critical step in photocatalytic water dissociation is the hole-mediated oxidation reaction. Molecular-level insights into the mechanism of this complex reaction under realistic conditions with high temporal resolution are highly desirable. Here, we use femtosecond time-resolved, surface-specific vibrational sum frequency generation spectroscopy to study the photo-induced reaction directly at the interface of the photocatalyst TiO2 in contact with liquid water at room temperature. Thanks to the inherent surface specificity of the spectroscopic method, we can follow the reaction of solely the interfacial water molecules directly at the interface at timescales on which the reaction takes place. Following the generation of holes at the surface immediately after photoexcitation of the catalyst with UV light, water dissociation occurs on a sub-20 ps timescale. The reaction mechanism is similar at pH 3 and 11. In both cases, we observe the conversion of H2 O into Ti-OH groups and the deprotonation of pre-existing Ti-OH groups. This study provides unique experimental insights into the early steps of the photo-induced dissociation processes at the photocatalyst-water interface, relevant to the design of improved photocatalysts.

4.
Small ; 19(9): e2205602, 2023 Mar.
Artículo en Inglés | MEDLINE | ID: mdl-36521931

RESUMEN

2D membranes such as artificially perforated graphene are deemed to bring great advantages for molecular separation. However, there is a lack of structure-property correlations in graphene membranes as neither the atomic configurations nor the number of introduced sub-nanometer defects are known precisely. Recently, bilayer silica has emerged as an inherent 2D membrane with an unprecedentedly high areal density of well-defined pores. Mass transfer experiments with free-standing SiO2 bilayers demonstrated a strong preference for condensable fluids over inert species, and the measured membrane selectivity revealed a key role of intermolecular forces in ångstrom-scale openings. In this study, vapor permeation measurements are combined with quantitative adsorption experiments and density functional theory (DFT) calculations to get insights into the mechanism of surface-mediated transport in vitreous 2D silicon dioxide. The membranes are shown to exhibit molecular sieving performance when exposed to vaporous methanol, ethanol, isopropanol, and tert-butanol. The results are normalized to the coverage of physisorbed molecules and agree well with the calculated energy barriers.

5.
Small ; 19(1): e2204636, 2023 Jan.
Artículo en Inglés | MEDLINE | ID: mdl-36354167

RESUMEN

The intrinsic properties of semiconducting oxides having nanostructured morphology are highly appealing for gas sensing. In this study, the fabrication of nanostructured WO3 thin films with promising surface characteristics for hydrogen (H2 ) gas sensing applications is accomplished. This is enabled by developing a chemical vapor deposition (CVD) process employing a new and volatile tungsten precursor bis(diisopropylamido)-bis(tert-butylimido)-tungsten(VI), [W(Nt Bu)2 (Ni Pr2 )2 ]. The as-grown nanostructured WO3 layers are thoroughly analyzed. Particular attention is paid to stoichiometry, surface characteristics, and morphology, all of which strongly influence the gas-sensing potential of WO3 . Synchrotron-based ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), X-ray photoelectron emission microscopy (XPEEM), low-energy electron microscopy (LEEM) and 4-point van der Pauw (vdP) technique made it possible to analyze the surface chemistry and structural uniformity with a spatially resolved insight into the chemical, electronic and electrical properties. The WO3 layer is employed as a hydrogen (H2 ) sensor within interdigitated mini-mobile sensor architecture capable of working using a standard computer's 5 V 1-wirebus connection. The sensor shows remarkable sensitivity toward H2 . The high, robust, and repeatable sensor response (S) is attributed to the homogenous distribution of the W5+ oxidation state and associated oxygen vacancies, as shown by synchrotron-based UPS, XPS, and XPEEM analysis.

6.
Small ; 19(36): e2301774, 2023 Sep.
Artículo en Inglés | MEDLINE | ID: mdl-37127863

RESUMEN

Area-selective atomic layer deposition (AS-ALD) is a bottom-up nanofabrication method delivering single atoms from a molecular precursor. AS-ALD enables self-aligned fabrication and outperforms lithography in terms of cost, resistance, and equipment prerequisites, but it requires pre-patterned substrates and is limited by insufficient selectivity and finite choice of substrates. These challenges are circumvented by direct patterning with atomic-layer additive manufacturing (ALAM) - a transfer of 3D-printing principles to atomic-layer manufacturing where a precursor supply nozzle enables direct patterning instead of blanket coating. The reduced precursor vapor consumption in ALAM as compared with ALD calls for the use of less volatile precursors by replacing diethylzinc used traditionally in ALD with bis(dimethylaminopropyl)zinc, Zn(DMP)2 . The behavior of this novel ZnO ALAM process follows that of the corresponding ALD in terms of deposit quality and growth characteristics. The temperature window for self-limiting growth of stoichiometric, crystalline material is 200-250 °C. The growth rates are 0.9 Å per cycle in ALD (determined by spectroscopic ellipsometry) and 1.1 Å per pass in ALAM (imaging ellipsometry). The preferential crystal orientation increases with temperature, while energy-dispersive X-ray spectroscopic and XPS show that only intermediate temperatures deliver stoichiometric ZnO. A functional thin-film transistor is created from an ALAM-deposited ZnO line and characterized.

7.
Nano Lett ; 22(3): 1287-1293, 2022 02 09.
Artículo en Inglés | MEDLINE | ID: mdl-35044780

RESUMEN

Graphene and other single-layer structures are pursued as high-flux separation membranes, although imparting porosity endangers their crystalline integrity. In contrast, bilayer silica composed of corner-sharing (SiO4) units is foreseen to be permeable for small molecules due to its intrinsic lattice openings. This study sheds light on the mass transport properties of freestanding 2D SiO2 upon using atomic layer deposition (ALD) to grow large-area films on Au/mica substrates followed by transfer onto Si3N4 windows. Permeation experiments with gaseous and vaporous substances reveal the suspended material to be porous, but the membrane selectivity appears to diverge from the size exclusion principle. Whereas the passage of inert gas molecules is hindered with a permeance below 10-7 mol·s-1·m-2·Pa-1, condensable species like water are found to cross vitreous bilayer silica a thousand times faster in accordance with their superficial affinity. This work paves the way for bilayer oxides to be addressed as inherent 2D membranes.


Asunto(s)
Grafito , Dióxido de Silicio , Gases/química , Óxidos , Porosidad , Dióxido de Silicio/química
8.
Small ; 18(50): e2204828, 2022 Dec.
Artículo en Inglés | MEDLINE | ID: mdl-36310138

RESUMEN

Highly selective and sensitive H2 S sensors are in high demand in various fields closely related to human life. However, metal oxide semiconductors (MOSs) suffer from poor selectivity and single MOS@metal organic framework (MOF) core-shell nanocomposites are greatly limited due to the intrinsic low sensitivity of MOF shells. To simultaneously improve both selectivity and sensitivity, heterostructured α-Fe2 O3 @ZnO@ZIF-8 core-shell nanowires (NWs) are meticulously synthesized with the assistance of atomic layer deposition. The ZIF-8 shell with regular pores and special surface functional groups is attractive for excellent selectivity and the heterostructured α-Fe2 O3 @ZnO core with an additional electron depletion layer is promising with enhanced sensitivity compared to a single MOS core. As a result, the heterostructured α-Fe2 O3 @ZnO@ZIF-8 core-shell NWs achieve remarkable H2 S sensing performance with a high response (Rair /Rgas  = 32.2 to 10 ppm H2 S), superior selectivity, fast response/recovery speed (18.0/31.8 s), excellent long-term stability (at least over 3 months), and relatively low limit of detection (down to 200 ppb) at low operating temperature of 200 °C, far beyond α-Fe2 O3 @ZIF-8 or α-Fe2 O3 @ZnO core-shell NWs. Furthermore, a micro-electromechanical system-based H2 S gas sensor system with low power consumption is developed, holding great application potential in smart cities.

9.
Chemistry ; 28(16): e202103798, 2022 Mar 16.
Artículo en Inglés | MEDLINE | ID: mdl-35044704

RESUMEN

Cu and Ag precursors that are volatile, reactive, and thermally stable are currently of high interest for their application in atomic-layer deposition (ALD) of thin metal films. In pursuit of new precursors for coinage metals, namely Cu and Ag, a series of new N-heterocyclic carbene (NHC)-based CuI and AgI complexes were synthesized. Modifications in the substitution pattern of diketonate-based anionic backbones led to five monomeric Cu complexes and four closely related Ag complexes with the general formula [M(tBu NHC)(R)] (M=Cu, Ag; tBu NHC=1,3-di-tert-butyl-imidazolin-2-ylidene; R=diketonate). Thermal analysis indicated that most of the Cu complexes are thermally stable and volatile compared to the more fragile Ag analogs. One of the promising Cu precursors was evaluated for the ALD of nanoparticulate Cu metal deposits by using hydroquinone as the reducing agent at appreciably low deposition temperatures (145-160 °C). This study highlights the considerable impact of the employed ligand sphere on the structural and thermal properties of metal complexes that are relevant for vapor-phase processing of thin films.

10.
Chemistry ; 27(15): 4913-4926, 2021 Mar 12.
Artículo en Inglés | MEDLINE | ID: mdl-33470473

RESUMEN

Owing to the limited availability of suitable precursors for vapor phase deposition of rare-earth containing thin-film materials, new or improved precursors are sought after. In this study, we explored new precursors for atomic layer deposition (ALD) of cerium (Ce) and ytterbium (Yb) containing thin films. A series of homoleptic tris-guanidinate and tris-amidinate complexes of cerium (Ce) and ytterbium (Yb) were synthesized and thoroughly characterized. The C-substituents on the N-C-N backbone (Me, NMe2 , NEt2 , where Me=methyl, Et=ethyl) and the N-substituents from symmetrical iso-propyl (iPr) to asymmetrical tertiary-butyl (tBu) and Et were systematically varied to study the influence of the substituents on the physicochemical properties of the resulting compounds. Single crystal structures of [Ce(dpdmg)3 ] 1 and [Yb(dpdmg)3 ] 6 (dpdmg=N,N'-diisopropyl-2-dimethylamido-guanidinate) highlight a monomeric nature in the solid-state with a distorted trigonal prismatic geometry. The thermogravimetric analysis shows that the complexes are volatile and emphasize that increasing asymmetry in the complexes lowers their melting points while reducing their thermal stability. Density functional theory (DFT) was used to study the reactivity of amidinates and guanidinates of Ce and Yb complexes towards oxygen (O2 ) and water (H2 O). Signified by the DFT calculations, the guanidinates show an increased reactivity toward water compared to the amidinate complexes. Furthermore, the Ce complexes are more reactive compared to the Yb complexes, indicating even a reactivity towards oxygen potentially exploitable for ALD purposes. As a representative precursor, the highly reactive [Ce(dpdmg)3 ] 1 was used for proof-of-principle ALD depositions of CeO2 thin films using water as co-reactant. The self-limited ALD growth process could be confirmed at 160 °C with polycrystalline cubic CeO2 films formed on Si(100) substrates. This study confirms that moving towards nitrogen-coordinated rare-earth complexes bearing the guanidinate and amidinate ligands can indeed be very appealing in terms of new precursors for ALD of rare earth based materials.

11.
Small ; 16(22): e1907506, 2020 Jun.
Artículo en Inglés | MEDLINE | ID: mdl-32346997

RESUMEN

The identification of bis-3-(N,N-dimethylamino)propyl zinc ([Zn(DMP)2 ], BDMPZ) as a safe and potential alternative to the highly pyrophoric diethyl zinc (DEZ) as atomic layer deposition (ALD) precursor for ZnO thin films is reported. Owing to the intramolecular stabilization, BDMPZ is a thermally stable, volatile, nonpyrophoric solid compound, however, it possesses a high reactivity due to the presence of Zn-C and Zn-N bonds in this complex. Employing this precursor, a new oxygen plasma enhanced (PE)ALD process in the deposition temperature range of 60 and 160 °C is developed. The resulting ZnO thin films are uniform, smooth, stoichiometric, and highly transparent. The deposition on polyethylene terephthalate (PET) at 60 °C results in dense and compact ZnO layers for a thickness as low as 7.5 nm with encouraging oxygen transmission rates (OTR) compared to the bare PET substrates. As a representative application of the ZnO layers, the gas sensing properties are investigated. A high response toward NO2 is observed without cross-sensitivities against NH3 and CO. Thus, the new PEALD process employing BDMPZ has the potential to be a safe substitute to the commonly used DEZ processes.

12.
Inorg Chem ; 59(14): 10059-10070, 2020 Jul 20.
Artículo en Inglés | MEDLINE | ID: mdl-32589409

RESUMEN

Nickel-based nanostructured materials have gained widespread attention, particularly for energy-related applications. Employing chemical vapor deposition (CVD) for NiO necessitates suitable nickel precursors that are volatile and stable. Herein, we report the synthesis and characterization of a series of new nickel ß-ketoiminato complexes with different aliphatic and etheric side chain substitutions, namely, bis(4-(isopropylamino)-pent-3-en-2-onato)nickel(II) ([Ni(ipki)2], 1), bis(4-(2-methoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(meki)2], 2), bis(4-(2-ethoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(eeki)2], 3), bis(4-(3-methoxy-propylamino)-pent-3-en-2-onato)nickel(II) ([Ni(mpki)2], 4), and bis(4-(3-ethoxypropylamino)pent-3-en-2-onato)nickel(II) ([Ni(epki)2], 5). These compounds have been thoroughly characterized with regard to their purity and identity by means of nuclear magnetic resonance spectroscopy (NMR) and electron impact mass spectrometry (EI-MS). Contrary to other transition metal ß-ketoiminates, the imino side chain strongly influences the structural geometry of the complexes, which was ascertained via single-crystal X-ray diffraction (XRD). As a result, the magnetic momenta of the molecules also differ significantly as evidenced by the magnetic susceptibility measurements employing Evan's NMR method in solution. Thermal analysis revealed the suitability of these compounds as new class of precursors for CVD of Ni containing materials. As a representative precursor, compound 2 was evaluated for the CVD of NiO thin films on Si(100) and conductive glass substrates. The as-deposited nanostructured layers were stoichiometric and phase pure NiO as confirmed by XRD, Rutherford backscattering spectrometry (RBS), and nuclear reaction analysis (NRA). X-ray photoelectron spectroscopy (XPS) indicated the formation of slightly oxygen-rich surfaces. The assessment of NiO films in electrocatalysis revealed promising activity for the oxygen evolution reactions (OER). The current densities of 10 mA cm-2 achieved at overpotentials ranging between 0.48 and 0.52 V highlight the suitability of the new Ni complexes in CVD processes for the fabrication of thin film electrocatalysts.

13.
Angew Chem Int Ed Engl ; 59(33): 14138-14142, 2020 Aug 10.
Artículo en Inglés | MEDLINE | ID: mdl-32369235

RESUMEN

Attempted preparation of a chelated CoII ß-silylamide resulted in the unprecedented disproportionation to Co0 and a spirocyclic cobalt(IV) bis(ß-silyldiamide): [Co[(Nt Bu)2 SiMe2 ]2 ] (1). Compound 1 exhibited a room-temperature magnetic moment of 1.8 B.M. and a solid-state axial EPR spectrum diagnostic of a rare S= 1 / 2 configuration for tetrahedral CoIV . Ab initio semicanonical coupled-cluster calculations (DLPNO-CCSD(T)) revealed the doublet state was clearly preferred (-27 kcal mol-1 ) over higher spin configurations only for the bulky tert-butyl-substituted analogue. Unlike other CoIV complexes, 1 had remarkable thermal stability, and was demonstrated to form a stable self-limiting monolayer in preliminary atomic layer deposition (ALD) surface saturation experiments. The ease of synthesis and high stability make 1 an attractive starting point to investigate otherwise inaccessible CoIV intermediates and for synthesizing new materials.

14.
Chemistry ; 25(31): 7489-7500, 2019 Jun 04.
Artículo en Inglés | MEDLINE | ID: mdl-30870572

RESUMEN

New precursor chemistries for the atomic layer deposition (ALD) of aluminium oxide are reported as potential alternatives to the pyrophoric trimethylaluminium (TMA) which is to date a widely used Al precursor. Combining the high reactivity of aluminium alkyls employing the 3-(dimethylamino)propyl (DMP) ligand with thermally stable amide ligands yielded three new heteroleptic, non-pyrophoric compounds [Al(NMe2 )2 (DMP)] (2), [Al(NEt2 )2 (DMP)] (3, BDEADA) and [Al(NiPr2 )2 (DMP)] (4), which combine the properties of both ligand systems. The compounds were synthesized and thoroughly chemically characterized, showing the intramolecular stabilization of the DMP ligand as well as only reactive Al-C and Al-N bonds, which are the key factors for the thermal stability accompanied by a sufficient reactivity, both being crucial for ALD precursors. Upon rational variation of the amide alkyl chains, tunable and high evaporation rates accompanied by thermal stability were found, as revealed by thermal evaluation. In addition, a new and promising plasma enhanced (PE)ALD process using BDEADA and oxygen plasma in a wide temperature range from 60 to 220 °C is reported and compared to that of a modified variation of the TMA, namely [AlMe2 (DMP)] (DMAD). The resulting Al2 O3 layers are of high density, smooth, uniform, and of high purity. The applicability of the Al2 O3 films as effective gas barrier layers (GBLs) was successfully demonstrated, considering that coating on polyethylene terephthalate (PET) substrates yielded very good oxygen transmission rates (OTR) with an improvement factor of 86 for a 15 nm film by using DMAD and a factor of 25 for a film thickness of just 5 nm by using BDEDA compared to bare PET substrates. All these film attributes are of the same quality as those obtained for the industrial precursor TMA, rendering the new precursors safe and potential alternatives to TMA.

15.
Phys Chem Chem Phys ; 21(17): 8956-8964, 2019 Apr 24.
Artículo en Inglés | MEDLINE | ID: mdl-30989163

RESUMEN

Photocatalytic splitting of water into hydrogen and oxygen by utilizing sunlight and a photocatalyst is a promising way of generating clean energy. Here, we report a molecular-level study on heavy water (D2O) interacting with TiO2 as a model photocatalyst. We employed the surface specific technique Sum-Frequency-Generation (SFG) spectroscopy to determine the nature of the hydrogen bonding environment and the orientation of interfacial water molecules using their OD-stretch vibrations as reporters. By examining solutions with various pD-values, we observe an intensity-minimum at around pD 5, corresponding to the balance of protonation and deprotonation of TiO2 (point of zero charge). The majority of water molecules' deuterium atoms point away from the interface when the pD is below 5, and point towards the surface when the pD is higher than 5, with strong hydrogen bonds towards the surface.

16.
J Nanosci Nanotechnol ; 19(2): 867-876, 2019 Feb 01.
Artículo en Inglés | MEDLINE | ID: mdl-30360164

RESUMEN

Zinc oxide (ZnO) has been recognized as one of the most promising metal oxide semiconductor material for processing low-cost thin film transistors (TFTs). Within the scope of this work, we demonstrate a simple, stabilizer free and very efficient chemical solution deposition (CSD) route to grow high quality ZnO layers. The identification of a highly soluble zinc ketoiminate precursor that undergoes hydrolysis under ambient conditions with the facile cleavage of the ligands was the key to develop a simple and straightforward process for ZnO thin films under mild process conditions. Upon heat treatment at moderate temperatures, the precursor decomposes cleanly yielding polycrystalline ZnO thin films, which was confirmed by X-ray diffraction (XRD) and scanning electron microscopy (SEM). The composition was investigated employing complementary techniques such as X-ray photoelectron spectroscopy (XPS) and Rutherford backscattering spectrometry (RBS) which revealed high purity ZnO layers. The functional properties in terms of transparency and optical band gap were determined by ultraviolet-visible (UV-Vis) spectroscopy. The transparent ZnO semiconductor thin films serve as active channel layer of thin film transistors (TFT) which was demonstrated by spin coating of the precursor. Subsequent curing in ambient air, yields a 10 nm film that is sufficient to fabricate working TFTs test structures.

17.
Inorg Chem ; 57(14): 8218-8226, 2018 Jul 16.
Artículo en Inglés | MEDLINE | ID: mdl-29943579

RESUMEN

Lead analogues of N-heterocyclic carbenes (NHPbs) are the least understood members of this increasingly important class of compounds. Here we report the design, preparation, isolation, structure, volatility, and decomposition pathways of a novel aliphatic NHPb: rac- N 2, N 3-di- tert-butylbutane-2,3-diamido lead(II) (1Pb). The large steric bulk of the tert-butylamido moieties and rac-butane backbone successfully hinder redox decomposition pathways observed for diamidoethylene and -ethane backbone analogues, pushing the onset of thermal decomposition from below 0 °C to above 150 °C. With an exceptionally high vapor pressure of 1 Torr at 94 ± 2 °C and excellent thermal stability among Pb(II) complexes, 1Pb is a promising precursor for the chemical vapor deposition (CVD) and atomic layer deposition (ALD) of functional lead-containing materials.

18.
Inorg Chem ; 57(9): 5133-5144, 2018 May 07.
Artículo en Inglés | MEDLINE | ID: mdl-29664288

RESUMEN

A series of six cobalt ketoiminates, of which one was previously reported but not explored as a chemical vapor deposition (CVD) precursor, namely, bis(4-(isopropylamino)pent-3-en-2-onato)cobalt(II) ([Co( ipki)2], 1), bis(4-(2-methoxyethylamino)pent-3-en-2-onato)cobalt(II) ([Co(meki)2], 2), bis(4-(2-ethoxyethylamino)pent-3-en-2-onato)cobalt(II) ([Co(eeki)2], 3), bis(4-(3-methoxy-propylamino)pent-3-en-2-onato)cobalt(II) ([Co(mpki)2], 4), bis(4-(3-ethoxypropylamino)pent-3-en-2-onato)cobalt(II) ([Co(epki)2], 5), and bis(4-(3-isopropoxypropylamino)pent-3-en-2-onato)cobalt(II) ([Co( ippki)2], 6) were synthesized and thoroughly characterized. Single-crystal X-ray diffraction (XRD) studies on compounds 1-3 revealed a monomeric structure with distorted tetrahedral coordination geometry. Owing to the promising thermal properties, metalorganic CVD of CoO x was performed using compound 1 as a representative example. The thin films deposited on Si(100) consisted of the spinel-phase Co3O4 evidenced by XRD, Rutherford backscattering spectrometry/nuclear reaction analysis, and X-ray photoelectron spectroscopy. Photoelectrochemical water-splitting capabilities of spinel CoO x films grown on fluorine-doped tin oxide (FTO) and TiO2-coated FTO revealed that the films show p-type behavior with conduction band edge being estimated to -0.9 V versus reversible hydrogen electrode. With a thin TiO2 underlayer, the CoO x films exhibit photocurrents related to proton reduction under visible light.

19.
Angew Chem Int Ed Engl ; 57(49): 16224-16227, 2018 Dec 03.
Artículo en Inglés | MEDLINE | ID: mdl-30260065

RESUMEN

A new N-heterocyclic carbene (NHC)-based silver amide compound, 1,3-di-tert-butyl-imidazolin-2-ylidene silver(I) 1,1,1-trimethyl-N-(trimethylsilyl)silanaminide [(NHC)Ag(hmds)] was synthesized and analyzed by single-crystal X-ray diffraction, 1 H and 13 C NMR spectroscopy, as well as EI mass spectrometry, and subsequently evaluated for its thermal characteristics. This new halogen- and phosphine-free Ag atomic layer deposition (ALD) precursor was tested successfully for silver thin film growth in atmospheric pressure plasma enhanced spatial (APP-ALD). High-purity conductive Ag thin films with a low sheet resistance of 0.9 Ω/sq (resistivity: 10-5  Ωcm) were deposited at 100 °C and characterized by X-ray photoelectron spectroscopy, scanning electron microscopy, optical transmittance, and Rutherford back-scattering techniques. The carbene-based Ag precursor and the new APP-ALD process are significant developments in the field of precursor chemistry as well as metal ALD processing.

20.
Chemistry ; 23(71): 17954-17963, 2017 Dec 19.
Artículo en Inglés | MEDLINE | ID: mdl-29164705

RESUMEN

Molecular engineering of manganese(II) diamine diketonate precursors is a key issue for their use in the vapor deposition of manganese oxide materials. Herein, two closely related ß-diketonate diamine MnII adducts with different fluorine contents in the diketonate ligands are examined. The target compounds were synthesized by a simple procedure and, for the first time, thoroughly characterized by a joint experimental-theoretical approach, to understand the influence of the ligand on their structures, electronic properties, thermal behavior, and reactivity. The target compounds are monomeric and exhibit a pseudo-octahedral coordination of the MnII centers, with differences in their structure and fragmentation processes related to the ligand nature. Both complexes can be readily vaporized without premature side decompositions, a favorable feature for their use as precursors for chemical vapor deposition (CVD) or atomic layer deposition applications. Preliminary CVD experiments at moderate growth temperatures enabled the fabrication of high-purity, single-phase Mn3 O4 nanosystems with tailored morphology, which hold great promise for various technological applications.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA