Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 27
Filtrar
1.
Small ; 19(34): e2300290, 2023 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-37127866

RESUMEN

This study suggests a Ru/ZnO bilayer grown using area-selective atomic layer deposition (AS-ALD) as a multifunctional layer for advanced Cu metallization. As a diffusion barrier and glue layer, ZnO is selectively grown on SiO2 , excluding Cu, where Ru, as a liner and seed layer, is grown on both surfaces. Dodecanethiol (DDT) is used as an inhibitor for the AS-ALD of ZnO using diethylzinc and H2 O at 120 °C. H2 plasma treatment removes the DDT adsorbed on Cu, forming inhibitor-free surfaces. The ALD-Ru film is then successfully deposited at 220 °C using tricarbonyl(trimethylenemethane)ruthenium and O2 . The Cu/bilayer/Si structural and electrical properties are investigated to determine the diffusion barrier performance of the bilayer film. Copper silicide is not formed without the conductivity degradation of the Cu/bilayer/Si structure, even after annealing at 700 °C. The effect of ZnO on the Ru/SiO2 structure interfacial adhesion energy is investigated using a double-cantilever-beam test and is found to increase with ZnO between Ru and SiO2 . Consequently, the Ru/ZnO bilayer can be a multifunctional layer for advanced Cu interconnects. Additionally, the formation of a bottomless barrier by eliminating ZnO on the via bottom, or Cu, is expected to decrease the via resistance for the ever-shrinking Cu lines.

2.
J Am Chem Soc ; 144(26): 11757-11766, 2022 Jul 06.
Artículo en Inglés | MEDLINE | ID: mdl-35674504

RESUMEN

The adsorption of metalorganic and metal halide precursors on the SiO2 surface plays an essential role in thin-film deposition processes such as atomic layer deposition (ALD). In the case of aluminum oxide (Al2O3) films, the growth characteristics are influenced by the precursor structure, which controls both chemical reactivity and the geometrical constraints during deposition. In this work, a systematic study using a series of Al(CH3)xCl3-x (x = 0, 1, 2, and 3) and Al(CyH2y+1)3 (y = 1, 2, and 3) precursors is carried out using a combination of experimental spectroscopic techniques together with density functional theory calculations and Monte Carlo simulations to analyze differences across precursor molecules. Results show that reactivity and steric hindrance mutually influence the ALD surface reaction. The increase in the number of chlorine ligands in the precursor shifts the deposition temperature higher, an effect attributed to more favorable binding of the intermediate species due to higher Lewis acidity, while differences between precursors in film growth per cycle are shown to originate from variations in adsorption activation barriers and size-dependent saturation coverage. Comparison between the theoretical and experimental results indicates that the Al(CyH2y+1)3 precursors are favored to undergo two ligand exchange reactions upon adsorption at the surface, whereas only a single Cl-ligand exchange reaction is energetically favorable upon adsorption by the AlCl3 precursor. By pursuing the first-principles design of ALD precursors combined with experimental analysis of thin-film growth, this work enables a robust understanding of the effect of precursor chemistry on ALD processes.

3.
Langmuir ; 37(17): 5356-5363, 2021 May 04.
Artículo en Inglés | MEDLINE | ID: mdl-33890793

RESUMEN

The superhydrophobic surface has been used in ultradry surface applications, such as the maritime industry, windshields, non-sticky surfaces, anti-icing surfaces, self-cleaning surfaces, and so forth. However, one of the main hurdles for the production of superhydrophobic surfaces is high-cost fabrication methods. Here, we report a handy process of self-synthesis fabrication of superhydrophobic surfaces with daily supplies. Driven by the physics of biscuit dunking, we introduce a method to self-synthesize superhydrophobic surfaces from daily supplies by coating a substrate with a liquid (liquids of paraffin from candles or polydimethylsiloxane) and subsequently sprinkling powders (food-desiccant silica, alumina, sugar, salt, or flour). A mechanistic study revealed that the capillary force, governed by surface energy difference, liquid viscosity, and powder pore size, draws the liquid solution into the porous channels within the powders. The entire surface of powders, in turn, is covered with the low-surface-energy liquid to maintain the porosity, creating a 3D porous nanostructure, resulting in a water contact angle over 160°. This work provides a scientific understanding that technological developments are closely related to the science that can be seen in our daily lives. Also, we believe that further intensive studies extended from this work could enable to home-fabricate a superhydrophobic surface, such as a bathtub and sink in bathrooms and a cooking area and sink in kitchens.

4.
Langmuir ; 36(11): 2794-2801, 2020 Mar 24.
Artículo en Inglés | MEDLINE | ID: mdl-32052969

RESUMEN

We successfully fabricated a conductive E-textile and color-coated E-textile by depositing multilayer Al2O3/TiO2 on a conductive E-textile through atomic layer deposition (ALD). Pt was deposited on an E-textile as a conductive layer via low-temperature ALD. The color of the coated conductive E-textile could be tuned to violet, green, or pink by simply varying the thickness of the Al2O3 and TiO2 layers. Both experimental and simulation results revealed that seven different colors can be obtained with single-layer TiO2 and multilayer Al2O3/TiO2, depending on the film thickness and their refractive indices. This method is highly effective for enhancing the fastness of structural color on conductive E-textiles. Furthermore, the mechanical properties and chemical stability of the color-coated E-textiles were investigated. The color-coated E-textiles could withstand acidic and basic solutions, with almost negligible changes in their morphology; this in turn indicates their excellent chemical stability. These switchable stable color-based conductive E-textiles can be used as a platform to directly integrate future wearable electronics in textiles.

5.
Nanotechnology ; 28(11): 115301, 2017 Mar 17.
Artículo en Inglés | MEDLINE | ID: mdl-28106007

RESUMEN

One-dimensional (1D) nanowires (NWs) and two-dimensional (2D) thin films of Ni were deposited on highly ordered pyrolytic graphite (HOPG) by atomic layer deposition (ALD), using NH3 as a counter reactant. Thermal ALD using NH3 gas forms 1D NWs along step edges, while NH3 plasma enables the deposition of a continuous 2D film over the whole surface. The lateral and vertical growth rates of the Ni NWs are numerically modeled as a function of the number of ALD cycles. Pretreatment with NH3 gas promotes selectivity in deposition by the reduction of oxygenated functionalities on the HOPG surface. On the other hand, NH3 plasma pretreatment generates surface nitrogen species, and results in a morphological change in the basal plane of graphite, leading to active nucleation across the surface during ALD. The effects of surface nitrogen species on the nucleation of ALD Ni were theoretically studied by density functional theory calculations. Our results suggest that the properties of Ni NWs, such as their density and width, and the formation of Ni thin films on carbon surfaces can be controlled by appropriate use of NH3.

6.
Nano Lett ; 13(2): 457-63, 2013 Feb 13.
Artículo en Inglés | MEDLINE | ID: mdl-23317031

RESUMEN

The formation of Pt nanowires (NWs) by atomic layer deposition on highly ordered pyrolytic graphite (HOPG) is investigated. Pt is deposited only at the step edges of HOPG and not on the basal planes, leading to the formation of laterally aligned Pt NWs. A growth model involving a morphological transition from 0-D to 1-D structures via coalescence is presented. The width of the NWs grows at a rate greater than twice the vertical growth rate. This asymmetry is ascribed to the wetting properties of Pt on HOPG as influenced by the formation of graphene oxide. A difference in Pt growth kinetics based on crystallographic orientation may also contribute.


Asunto(s)
Carbono/química , Nanopartículas del Metal/química , Platino (Metal)/química , Tamaño de la Partícula , Propiedades de Superficie
7.
Nano Lett ; 13(7): 3352-7, 2013 Jul 10.
Artículo en Inglés | MEDLINE | ID: mdl-23805835

RESUMEN

Achieving complete absorption of visible light with a minimal amount of material is highly desirable for many applications, including solar energy conversion to fuel and electricity, where benefits in conversion efficiency and economy can be obtained. On a fundamental level, it is of great interest to explore whether the ultimate limits in light absorption per unit volume can be achieved by capitalizing on the advances in metamaterial science and nanosynthesis. Here, we combine block copolymer lithography and atomic layer deposition to tune the effective optical properties of a plasmonic array at the atomic scale. Critical coupling to the resulting nanocomposite layer is accomplished through guidance by a simple analytical model and measurements by spectroscopic ellipsometry. Thereby, a maximized absorption of light exceeding 99% is accomplished, of which up to about 93% occurs in a volume-equivalent thickness of gold of only 1.6 nm. This corresponds to a record effective absorption coefficient of 1.7 × 10(7) cm(-1) in the visible region, far exceeding those of solid metals, graphene, dye monolayers, and thin film solar cell materials. It is more than a factor of 2 higher than that previously obtained using a critically coupled dye J-aggregate, with a peak width exceeding the latter by 1 order of magnitude. These results thereby substantially push the limits for light harvesting in ultrathin, nanoengineered systems.


Asunto(s)
Modelos Teóricos , Resonancia por Plasmón de Superficie/instrumentación , Resonancia por Plasmón de Superficie/métodos , Absorción , Simulación por Computador , Diseño Asistido por Computadora , Transferencia de Energía , Diseño de Equipo , Análisis de Falla de Equipo , Luz , Dispersión de Radiación
8.
ACS Appl Mater Interfaces ; 14(2): 3334-3343, 2022 Jan 19.
Artículo en Inglés | MEDLINE | ID: mdl-34981919

RESUMEN

Icephobic coatings have been extensively studied for decades to overcome the potential damage associated with ice formation in various devices that are operated under harsh weather conditions. Superhydrophobic surface coatings have been applied for icephobic coating applications owing to their low surface energy. In this study, an icephobic coating of a self-formed superhydrophobic surface using polydimethylsiloxane (PDMS) and SiO2 powder was investigated. The effect of superhydrophobicity on icephobicity was determined by varying the experimental parameters. Polyvinylidene fluoride (PVDF) was added to the PDMS solution to improve the mechanical properties of the icephobic layer. The PDMS-PVDF solution also showed a self-formation behavior into a superhydrophobic surface. In addition, the icephobicity and mechanical properties of the PDMS-PVDF mixture coating improved because of the multilevel nanostructure formed by physical and chemical interactions between the mixture and SiO2 powder. We believe that the proposed approach will be a suitable candidate for various practical applications of icephobicity and a model system to understand the correlation between superhydrophobicity and icephobicity.

9.
Nat Commun ; 13(1): 7597, 2022 Dec 09.
Artículo en Inglés | MEDLINE | ID: mdl-36494441

RESUMEN

The integration of bottom-up fabrication techniques and top-down methods can overcome current limits in nanofabrication. For such integration, we propose a gradient area-selective deposition using atomic layer deposition to overcome the inherent limitation of 3D nanofabrication and demonstrate the applicability of the proposed method toward large-scale production of materials. Cp(CH3)5Ti(OMe)3 is used as a molecular surface inhibitor to prevent the growth of TiO2 film in the next atomic layer deposition process. Cp(CH3)5Ti(OMe)3 adsorption was controlled gradually in a 3D nanoscale hole to achieve gradient TiO2 growth. This resulted in the formation of perfectly seamless TiO2 films with a high-aspect-ratio hole structure. The experimental results were consistent with theoretical calculations based on density functional theory, Monte Carlo simulation, and the Johnson-Mehl-Avrami-Kolmogorov model. Since the gradient area-selective deposition TiO2 film formation is based on the fundamentals of molecular chemical and physical behaviours, this approach can be applied to other material systems in atomic layer deposition.

10.
J Nanosci Nanotechnol ; 11(2): 1577-80, 2011 Feb.
Artículo en Inglés | MEDLINE | ID: mdl-21456240

RESUMEN

We report an atomic layer deposition chamber for in-situ synchrotron X-ray scattering study of thin film growth. The chamber was designed for combined synchrotron X-ray reflectivity and two-dimensional grazing-incidence X-ray diffraction measurement to do a in-situ monitoring of ALD growth. We demonstrate ruthenium thermal ALD growth for the performance of the chamber. 10, 20, 30, 50, 70, 100, 150 and 250-cycled states are measured by X-ray scattering methods during ALD growth process. Growth rate is calculated from thickness values and the surface roughness of each state is estimated by X-ray reflectivity analysis. The crystal structure of initial growth state is observed by Grazing-incidence X-ray diffraction. These results indicate that in-situ X-ray scattering method is a promising analysis technique to investigate the initial physical morphology of ALD films.

11.
Nanomaterials (Basel) ; 11(7)2021 Jul 09.
Artículo en Inglés | MEDLINE | ID: mdl-34361180

RESUMEN

Humid conditions can disrupt the triboelectric signal generation and reduce the accuracy of triboelectric mechanical sensors. This study demonstrates a novel design approach using atomic layer deposition (ALD) to enhance the humidity resistance of triboelectric mechanical sensors. Titanium oxide (TiOx) was deposited on polytetrafluoroethylene (PTFE) film as a moisture passivation layer. To determine the effective ALD process cycle, the TiOx layer was deposited with 100 to 2000 process cycles. The triboelectric behavior and surface chemical bonding states were analyzed before and after moisture exposure. The ALD-TiOx-deposited PTFE showed three times greater humidity stability than pristine PTFE film. Based on the characterization of TiOx on PTFE film, the passivation mechanism was proposed, and it was related to the role of the oxygen-deficient sites in the TiOx layer. This study could provide a novel way to design stable triboelectric mechanical sensors in highly humid environments.

12.
Dalton Trans ; 50(48): 17935-17944, 2021 Dec 14.
Artículo en Inglés | MEDLINE | ID: mdl-34821888

RESUMEN

Atomic layer deposition (ALD) is a thin film deposition technique based on self-saturated reactions between a precursor and reactant vacuum conditions. A typical ALD reaction consists of the first half-reaction of the precursor and the second half-reaction of the counter reactant, in which the terminal groups on the surface change after each half-reaction. In this study, the effects of counter reactants on the surface termination and growth characteristics of ALD HfO2 thin films formed on Si substrates using tetrakis(dimethylamino)-hafnium (TDMAH) as a precursor were investigated. Two counter reactants, H2O and O3, were individually employed, as well as in combination with consecutive exposure by H2O-O3 and O3-H2O. The film growth behaviors and properties differed when the sequence of exposure of the substrate to the reactants was varied. Based on X-ray photoelectron spectroscopy (XPS) and density functional theory (DFT) simulation, the changes are attributed to the effects of the surface terminations formed from different counter reactant combinations. The knowledge from this work could provide insight for precisely tuning the growth and properties of ALD films.

13.
Data Brief ; 31: 105777, 2020 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-32551348

RESUMEN

A dataset in this report is regarding an article "Ultrathin Effective TiN Protective Films Prepared by Plasma-Enhanced Atomic Layer Deposition for High Performance Metallic Bipolar Plates of Polymer Electrolyte Membrane Fuel Cells" [1]. TiN (Titanium Nitride) thin films were deposited by Plasma-Enhanced Atomic Layer Deposition (PEALD) method using well known two types of precursor: using tetrakis(dimethylamino)titanium (TDMAT) and titanium tetrachloride (TiCl4), and plasma. Summarized reports, growth characteristics (growth rate as a function of each precursor pulse time, plasma power, precursor and plasma purge time, thickness depending on the number of PEALD cycles), each precursor structural information and the atomic force micrographs (AFM) data are herein demonstrated. For TDMAT-TiN, N2 plasma was used as a reactant whereas, H2+N2 plasma was used as TiCl4-TiN reactant. To apply the bipolar plate substrate, two types of TiN thin films were introduced into Stainless steel (SUS) 316L.

14.
ACS Nano ; 14(1): 676-687, 2020 Jan 28.
Artículo en Inglés | MEDLINE | ID: mdl-31927973

RESUMEN

Fine-tuning of the surface free energy (SFE) of a solid material facilitates its use in a wide range of applications requiring precise control of the ubiquitous presence of liquid on the surface. In this study, we found that the SFE of rare-earth oxide (REO) thin films deposited by atomic layer deposition (ALD) gradually decreased with increasing film thickness; however, these changes could not be understood by classical interaction models. Herein, the mechanism underlying the aforesaid decrease was systematically studied by measuring contact angles, surface potential, adhesion force, crystalline structures, chemical compositions, and morphologies of the REO films. A growth mode of the REO films was observed: layer-by-layer growth at the initial stage with an amorphous phase and subsequent crystalline island growth, accompanied by a change in the crystalline structure and orientation that affects the SFE. The portion of the surface crystalline facets terminated with (222) and (440) planes evolved with an increase in ALD cycles and film thickness, as an amorphous phase was transformed. Based on this information, we demonstrated an SFE-tuned liquid tweezer with selectivity to target liquid droplets. We believe that the results of this fundamental and practical study, with excellent selectivity to liquids, will have significant impacts on coating technology.

15.
ACS Appl Mater Interfaces ; 11(18): 16804-16814, 2019 May 08.
Artículo en Inglés | MEDLINE | ID: mdl-30964978

RESUMEN

Reduced graphene oxide (RGO) obtained from graphene oxide has received much attention because of its simple and cost-effective manufacturing process. Previous studies have demonstrated the scalable production of RGO with relatively high quality; however, irreducible defects on RGO deteriorate the unique intrinsic physical properties of graphene, such as high-mobility electrical charge transport, limiting its potential applicability. Using the enhanced chemical reactivity of such defects, atomic layer deposition (ALD) can be a useful method to selectively passivate the defect sites. Herein, we analyzed the selective formation of Pt by ALD on the defect sites of RGO and investigated the effect of Pt formation on the electrical properties of RGO by using ultrafast terahertz (THz) laser spectroscopy. Time-resolved THz measurements directly corroborated that the degree of the defect-recovering property of ALD Pt-treated RGO appearing as Auger-type sub-picosecond relaxation, which is otherwise absent in pristine RGO. In addition, the conductivity improvement of Pt-recovered RGO was theoretically explained by density functional theory calculations. The ALD Pt-passivated RGO yielded a superior platform for the fabrication of a highly conductive and transparent graphene heater. By using the ALD Pt/RGO heater embedded underneath scratched self-healing polymer materials, we also demonstrated the effective recovery property of self-healing polymers with high-performance heating capability. Our work is expected to result in significant advances toward practical applications for RGO-based flexible and transparent electronics.

16.
Small ; 4(12): 2247-54, 2008 Dec.
Artículo en Inglés | MEDLINE | ID: mdl-18973220

RESUMEN

A novel fabrication method of Co and Ni metal nanorods (NRs) without catalyst or template, based on the spontaneous formation of NRs during plasma-enhanced atomic layer deposition (PE-ALD) is developed. Pure Co and Ni NRs 9-10 nm in diameter are synthesized on SiO(2) and Si substrates by using metal-organic precursors and an NH(3) plasma mixed with a suitable amount of SiH(4) as a reactant. The lengths of the NRs are controlled on the nanometer scale by changing the number of PE-ALD growth cycles. Superconducting quantum interference device magnetometer measurements confirm the magnetic anisotropy of Co NRs caused by shape anisotropy.


Asunto(s)
Nanotubos/química , Nanopartículas del Metal/química , Análisis por Micromatrices , Microscopía Electrónica de Transmisión , Nanotecnología/instrumentación , Propiedades de Superficie
18.
ACS Appl Mater Interfaces ; 9(47): 41607-41617, 2017 Nov 29.
Artículo en Inglés | MEDLINE | ID: mdl-29111636

RESUMEN

The reaction mechanism of area-selective atomic layer deposition (AS-ALD) of Al2O3 thin films using self-assembled monolayers (SAMs) was systematically investigated by theoretical and experimental studies. Trimethylaluminum (TMA) and H2O were used as the precursor and oxidant, respectively, with octadecylphosphonic acid (ODPA) as an SAM to block Al2O3 film formation. However, Al2O3 layers began to form on the ODPA SAMs after several cycles, despite reports that CH3-terminated SAMs cannot react with TMA. We showed that TMA does not react chemically with the SAM but is physically adsorbed, acting as a nucleation site for Al2O3 film growth. Moreover, the amount of physisorbed TMA was affected by the partial pressure. By controlling it, we developed a new AS-ALD Al2O3 process with high selectivity, which produces films of ∼60 nm thickness over 370 cycles. The successful deposition of Al2O3 thin film patterns using this process is a breakthrough technique in the field of nanotechnology.

19.
Sci Rep ; 6: 18754, 2016 Jan 04.
Artículo en Inglés | MEDLINE | ID: mdl-26725854

RESUMEN

This work reports the self-limiting synthesis of an atomically thin, two dimensional transition metal dichalcogenides (2D TMDCs) in the form of MoS2. The layer controllability and large area uniformity essential for electronic and optical device applications is achieved through atomic layer deposition in what is named self-limiting layer synthesis (SLS); a process in which the number of layers is determined by temperature rather than process cycles due to the chemically inactive nature of 2D MoS2. Through spectroscopic and microscopic investigation it is demonstrated that SLS is capable of producing MoS2 with a wafer-scale (~10 cm) layer-number uniformity of more than 90%, which when used as the active layer in a top-gated field-effect transistor, produces an on/off ratio as high as 10(8). This process is also shown to be applicable to WSe2, with a PN diode fabricated from a MoS2/WSe2 heterostructure exhibiting gate-tunable rectifying characteristics.

20.
ACS Nano ; 9(4): 3814-9, 2015 Apr 28.
Artículo en Inglés | MEDLINE | ID: mdl-25817095

RESUMEN

We report on the physical and chemical properties of atomic steps on the surface of highly oriented pyrolytic graphite (HOPG) investigated using atomic force microscopy. Two types of step edges are identified: internal (formed during crystal growth) and external (formed by mechanical cleavage of bulk HOPG). The external steps exhibit higher friction than the internal steps due to the broken bonds of the exposed edge C atoms, while carbon atoms in the internal steps are not exposed. The reactivity of the atomic steps is manifested in a variety of ways, including the preferential attachment of Pt nanoparticles deposited on HOPG when using atomic layer deposition and KOH clusters formed during drop casting from aqueous solutions. These phenomena imply that only external atomic steps can be used for selective electrodeposition for nanoscale electronic devices.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA