Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 8 de 8
Filtrar
Más filtros

Bases de datos
Tipo del documento
Intervalo de año de publicación
1.
Langmuir ; 38(2): 652-660, 2022 Jan 18.
Artículo en Inglés | MEDLINE | ID: mdl-34990131

RESUMEN

Small-molecule inhibitors are promising for achieving area-selective atomic layer deposition (ALD) due to their excellent compatibility with industrial processes. In this work, we report on growth inhibition during ALD of Al2O3 on a SiO2 surface functionalized with small-molecule aminosilane inhibitors. The SiO2 surface was prefunctionalized with bis(dimethylamino)dimethylsilane (BDMADMS) and (N,N-dimethylamino)trimethylsilane (DMATMS) through solution and the vapor phase. ALD of Al2O3 using dimethylaluminum isopropoxide (DMAI) and H2O was performed on these functionalized SiO2 surfaces. Our in situ four-wavelength ellipsometry measurements show superior growth inhibition when using BDMADMS and DMATMS in sequence over just using BDMADMS or DMATMS. Vapor phase functionalization provided a growth delay of ∼30 ALD cycles, which was similar to solution-based functionalization. Using in situ attenuated total reflection Fourier transform infrared spectroscopy, we show that the interaction of DMAI with SiO2 surfaces leads to pronounced changes in absorbance for the Si-O-Si phonon mode without any detectable DMAI absorbed on the SiO2 surface. Detailed analysis of the infrared spectra revealed that the decrease in absorbance was likely caused by the coordination of Al in DMAI to O atoms in surface Si-O-Si bonds without the breaking the Si-O-Si bonds. Finally, we postulate that a minimal amount of DMAI remains adsorbed on surface Si-O-Si bonds even after purging, which can initiate ALD of Al2O3 on functionalized SiO2: this highlights the need for higher surface coverage for enhanced steric blocking.

2.
J Chem Phys ; 146(5): 052811, 2017 Feb 07.
Artículo en Inglés | MEDLINE | ID: mdl-28178812

RESUMEN

Area-selective thin film deposition is expected to be important for advanced sub-10 nanometer semiconductor devices, enabling feature patterning, alignment to underlying structures, and edge definition. Several atomic layer deposition (ALD) processes show inherent propensity for substrate-dependent nucleation. This includes tungsten ALD (W-ALD) which is more energetically favorable on Si than on SiO2. However, the selectivity is often lost after several ALD cycles. We investigated the causes of tungsten nucleation on SiO2 and other "non-growth" surfaces during the WF6/SiH4 W-ALD process to determine how to expand the "selectivity window." We propose that hydroxyls, generated during the piranha clean, act as nucleation sites for non-selective deposition and show that by excluding the piranha clean or heating the samples, following the piranha clean, extends the tungsten selectivity window. We also assessed how the W-ALD precursors interact with different oxide substrates though individual WF6 and SiH4 pre-exposures prior to W-ALD deposition. We conclude that repeated SiH4 pre-exposures reduce the tungsten nucleation delay, which is attributed to SiH4 adsorption on hydroxyl sites. In addition, oxide surfaces were repeatedly exposed to WF6, which appears to form metal fluoride species. We attribute the different tungsten nucleation delay on Al2O3 and TiO2 to the formation of nonvolatile and volatile metal fluoride species, respectively. Through this study, we have increased the understanding of ALD nucleation and substrate selectivity, which are pivotal to improving the selectivity window for W-ALD and other ALD processes.

3.
J Am Chem Soc ; 137(43): 13756-9, 2015 Nov 04.
Artículo en Inglés | MEDLINE | ID: mdl-26456471

RESUMEN

Rapid room-temperature synthesis of metal-organic frameworks (MOFs) is highly desired for industrial implementation and commercialization. Here we find that a (Zn,Cu) hydroxy double salt (HDS) intermediate formed in situ from ZnO particles or thin films enables rapid growth (<1 min) of HKUST-1 (Cu3(BTC)2) at room temperature. The space-time-yield reaches >3 × 10(4) kg·m(-3)·d(-1), at least 1 order of magnitude greater than any prior report. The high anion exchange rate of (Zn,Cu) hydroxy nitrate HDS drives the ultrafast MOF formation. Similarly, we obtained Cu-BDC, ZIF-8, and IRMOF-3 structures from HDSs, demonstrating synthetic generality. Using ZnO thin films deposited via atomic layer deposition, MOF patterns are obtained on pre-patterned surfaces, and dense HKUST-1 coatings are grown onto various form factors, including polymer spheres, silicon wafers, and fibers. Breakthrough tests show that the MOF-functionalized fibers have high adsorption capacity for toxic gases. This rapid synthesis route is also promising for new MOF-based composite materials and applications.

4.
Chem Commun (Camb) ; 58(46): 6650-6652, 2022 Jun 08.
Artículo en Inglés | MEDLINE | ID: mdl-35593222

RESUMEN

During area-selective atomic layer deposition (ALD) based on growth inhibitors, nucleation eventually occurs as the metal precursor reacts with the surface through secondary pathways. We show that ALD of Al2O3 on functionalized SiO2 can be significantly delayed by using a lower reactivity, heteroleptic precursor at well below the saturation dose.

5.
J Phys Chem C Nanomater Interfaces ; 126(10): 4845-4853, 2022 Mar 17.
Artículo en Inglés | MEDLINE | ID: mdl-35330759

RESUMEN

Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.

6.
ACS Appl Mater Interfaces ; 10(10): 9147-9154, 2018 Mar 14.
Artículo en Inglés | MEDLINE | ID: mdl-29461793

RESUMEN

The semiconductor industry faces a tremendous challenge in the development of a transistor device with sub-10 nm complex features. Self-limiting atomic layer etching (ALE) is essential for enabling the manufacturing of complex transistor structures. In this study, we demonstrated a thermally driven ALE process for tungsten (W) using sequential exposures of O2 and WF6. Based on the insight gained from the previous study on TiO2 thermal ALE, we proposed that etching of W could proceed in two sequential reaction steps at 300 °C: (1) oxidation of metallic tungsten using O2 or O3 to form WO3(s) and (2) formation and removal of volatile WO2F2(g) during the reaction between WO3(s) and WF6(g). The O2/WF6 etch process was experimentally studied using a quartz crystal microbalance (QCM). We find that both the O2 and WF6 ALE half reactions are self-limiting, with an estimated steady-state etch rate of ∼6.3 Å/cycle at 300 °C. We also find that etching of W proceeds readily at 300 °C, but not at temperatures lower than 275 °C. Thermodynamic modeling reveals that the observed temperature dependence is likely due to the limited volatility of WO2F2. The use of WF6 with O3 in place of O2 also allows W etching, where the stronger oxidant leads to a larger mass removal rate per cycle. However, we find O2 to be more controllable for precise metal removal per cycle. In addition, etched W films were examined with ex situ analytical tools. Using spectroscopic ellipsometry (SE) and scanning electron microscopy (SEM), we confirm etching of tungsten film on silicon substrates. Surface analysis by X-ray photoelectron spectroscopy (XPS) revealed a minimal fluorine content on the W film after partial etching and on the silicon surface after full etching. This suggests that W ALE does not significantly alter the chemical composition of W films. This work serves to increase the understanding of ALE reactions and expand the base of available ALE processes for advanced material processing.

7.
ACS Appl Mater Interfaces ; 9(26): 22042-22054, 2017 Jul 05.
Artículo en Inglés | MEDLINE | ID: mdl-28598598

RESUMEN

Metal-organic frameworks (MOFs) are chemically functionalized micro- and mesoporous materials with high surface areas and are attractive for multiple applications including filtration, gas storage, and catalysis. Postsynthetic modification (PSM), via solution or vapor-based techniques, is a way to impart additional complexity and functionality into these materials. There is a desire to shift toward vapor-phase methods in order to ensure more controlled modification and more efficient reagent and solvent removal from the modified MOF material. In this work we explore how the metal precursors titanium tetrachloride (TiCl4) and trimethylaluminum (TMA), commonly used in atomic layer deposition, react with UiO-66-NH2 MOF. Using in situ quartz crystal microbalance (QCM) and Fourier transform infrared spectroscopy (FTIR) at 150 and 250 °C, we find that the ALD precursors react with µ3-OH hydroxyl and µ3-O bridging oxygen groups on Zr6 nodes, as well as oxygen from carboxylate linker groups. The reactions occur predominantly at the crystal surface at µ3-OH hydroxyl sites, with TiCl4 exhibiting greater diffusion into the MOF subsurface. FTIR analysis suggests that, at 150 °C, both TiCl4 and TMA reversibly dehydroxylate the hydroxylated UiO-66-NH2, which is accompanied by distortion of the zirconium metal clusters. Finally, we show that TiCl4 is able to react with the dehydroxylated UiO-66-NH2 structure, suggesting that TiCl4 is also able to react directly with the bridging oxygens in the metal clusters or carboxylate groups on the organic ligand. A better understanding of chemical and thermally driven MOF dehydroxylation reactions can be important for improved postsynthetic modification of MOFs.

8.
ACS Appl Mater Interfaces ; 8(14): 9514-22, 2016 Apr 13.
Artículo en Inglés | MEDLINE | ID: mdl-26999431

RESUMEN

Chemically functional microporous metal-organic framework (MOF) crystals are attractive for filtration and gas storage applications, and recent results show that they can be immobilized on high surface area substrates, such as fiber mats. However, fundamental knowledge is still lacking regarding initial key reaction steps in thin film MOF nucleation and growth. We find that thin inorganic nucleation layers formed by atomic layer deposition (ALD) can promote solvothermal growth of copper benzenetricarboxylate MOF (Cu-BTC) on various substrate surfaces. The nature of the ALD material affects the MOF nucleation time, crystal size and morphology, and the resulting MOF surface area per unit mass. To understand MOF nucleation mechanisms, we investigate detailed Cu-BTC MOF nucleation behavior on metal oxide powders and Al2O3, ZnO, and TiO2 layers formed by ALD on polypropylene substrates. Studying both combined and sequential MOF reactant exposure conditions, we find that during solvothermal synthesis ALD metal oxides can react with the MOF metal precursor to form double hydroxy salts that can further convert to Cu-BTC MOF. The acidic organic linker can also etch or react with the surface to form MOF from an oxide metal source, which can also function as a nucleation agent for Cu-BTC in the mixed solvothermal solution. We discuss the implications of these results for better controlled thin film MOF nucleation and growth.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA