Your browser doesn't support javascript.
loading
Cluster sampling and scalable Bayesian optimization with constraints for negative tone development resist model calibration.
Opt Express ; 32(9): 15993-16003, 2024 Apr 22.
Article em En | MEDLINE | ID: mdl-38859237
ABSTRACT
As the semiconductor technology node continues to shrink, achieving smaller critical dimension in lithography becomes increasingly challenging. Negative tone development (NTD) process is widely employed in advanced node due to their large process window. However, the unique characteristics of NTD, such as shrinkage effect, make the NTD resist model calibration more complex. Gradient descent (GD) and heuristic methods have been applied for calibration of NTD resist model. Nevertheless, these methods depend on initial parameter selection and tend to fall into local optima, resulting in poor accuracy of the NTD model and massive computational time. In this paper, we propose cluster sampling and scalable Bayesian optimization (BO) with constraints method for NTD resist model calibration. This approach utilizes cluster sampling strategy to enhance the capability for global initial sampling and employs scalable BO with constraints for global optimization of high-dimensional parameter space. With this approach, the calibration accuracy is significantly enhanced in comparison with results from GD and heuristic methods, and the computational efficiency is substantially improved compared with GD. By gearing up cluster sampling strategy and scalable BO with constraints, this method offers a new and efficient resist model calibration.

Texto completo: 1 Base de dados: MEDLINE Idioma: En Revista: Opt Express Assunto da revista: OFTALMOLOGIA Ano de publicação: 2024 Tipo de documento: Article

Texto completo: 1 Base de dados: MEDLINE Idioma: En Revista: Opt Express Assunto da revista: OFTALMOLOGIA Ano de publicação: 2024 Tipo de documento: Article