Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 2 de 2
Filtrar
Mais filtros

Base de dados
Ano de publicação
Tipo de documento
País de afiliação
Intervalo de ano de publicação
1.
J Chem Phys ; 146(5): 052808, 2017 Feb 07.
Artigo em Inglês | MEDLINE | ID: mdl-28178814

RESUMO

Si0.5Ge0.5(110) surfaces were passivated and functionalized using atomic H, hydrogen peroxide (H2O2), and either tetrakis(dimethylamino)titanium (TDMAT) or titanium tetrachloride (TiCl4) and studied in situ with multiple spectroscopic techniques. To passivate the dangling bonds, atomic H and H2O2(g) were utilized and scanning tunneling spectroscopy (STS) demonstrated unpinning of the surface Fermi level. The H2O2(g) could also be used to functionalize the surface for metal atomic layer deposition. After subsequent TDMAT or TiCl4 dosing followed by a post-deposition annealing, scanning tunneling microscopy demonstrated that a thermally stable and well-ordered monolayer of TiOx was deposited on Si0.5Ge0.5(110), and X-ray photoelectron spectroscopy verified that the interfaces only contained Si-O-Ti bonds and a complete absence of GeOx. STS measurements confirmed a TiOx monolayer without mid-gap and conduction band edge states, which should be an ideal ultrathin insulating layer in a metal-insulator-semiconductor structure. Regardless of the Ti precursors, the final Ti density and electronic structure were identical since the Ti bonding is limited by the high coordination of Ti to O.

2.
ACS Appl Mater Interfaces ; 9(24): 20947-20954, 2017 Jun 21.
Artigo em Inglês | MEDLINE | ID: mdl-28537704

RESUMO

The selective etching characteristics of silicon, germanium, and Si0.5Ge0.5 subjected to a downstream H2/CF4/Ar plasma have been studied using a pair of in situ quartz crystal microbalances (QCMs) and X-ray photoelectron spectroscopy (XPS). At 50 °C and 760 mTorr, Si can be etched in preference to Ge and Si0.5Ge0.5, with an essentially infinite Si/Ge etch-rate ratio (ERR), whereas for Si/Si0.5Ge0.5, the ERR is infinite at 22 °C and 760 mTorr. XPS data showed that the selectivity is due to the differential suppression of etching by a ∼2 ML thick CxHyFz layer formed by the H2/CF4/Ar plasma on Si, Ge, and Si0.5Ge0.5. The data are consistent with the less exothermic reaction of fluorine radicals with Ge or Si0.5Ge0.5 being strongly suppressed by the CxHyFz layer, whereas, on Si, the CxHyFz layer is not sufficient to completely suppress etching. Replacing H2 with D2 in the feed gas resulted in an inverse kinetic isotope effect (IKIE) where the Si and Si0.5Ge0.5 etch rates were increased by ∼30 times with retention of significant etch selectivity. The use of D2/CF4/Ar instead of H2/CF4/Ar resulted in less total carbon deposition on Si and Si0.5Ge0.5 and gave less Ge enrichment of Si0.5Ge0.5. These results are consistent with the selectivity being due to the differential suppression of etching by an angstrom-scale carbon layer.

SELEÇÃO DE REFERÊNCIAS
Detalhe da pesquisa