Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 1 de 1
Filtrar
Mais filtros

Base de dados
Ano de publicação
Tipo de documento
País de afiliação
Intervalo de ano de publicação
1.
Sensors (Basel) ; 20(18)2020 Sep 14.
Artigo em Inglês | MEDLINE | ID: mdl-32937970

RESUMO

Wearable health and activity monitoring devices must minimize the battery charging and replacement requirements to be practical. Numerous design techniques, such as power gating and multiple voltage-frequency (VF) domains, can be used to optimize power consumption. However, circuit-level techniques alone cannot minimize energy consumption unless they exploit domain-specific knowledge. To this end, we propose a system-level framework that minimizes the energy consumption of wearable health and activity monitoring applications by combining domain-specific knowledge with low-power design techniques. The proposed technique finds the energy-optimal VF domain partitioning and the corresponding VF assignments to each partition. We evaluate this framework with experiments on two activity monitoring and one electrocardiogram applications. Our approach decreases the energy consumption by 33-58% when compared to baseline designs. It also achieves 20-46% more savings compared to a state-of-the-art approach.


Assuntos
Monitorização Fisiológica/instrumentação , Dispositivos Eletrônicos Vestíveis , Actigrafia , Fontes de Energia Elétrica , Eletrocardiografia , Humanos
SELEÇÃO DE REFERÊNCIAS
Detalhe da pesquisa