Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 35
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Macromol Rapid Commun ; : e2400288, 2024 Jul 16.
Artigo em Inglês | MEDLINE | ID: mdl-39012272

RESUMO

Two model substrates for the grafting to reaction are considered: not-deglazed silicon, whose surface is coated by a thin oxide layer with reactive silanol groups on its surface; and deglazed silicon, where the oxide layer is removed by treatment with hydrofluoric acid. The reactive polymers are hydroxy-terminated polystyrenes with molecular weights ranging from 3.9 to 13.9 kg mol⁻1. The grafting to reaction is carried out at different temperatures and for different periods of time on the two different substrates. The thickness and the thermal stability of the resulting brushes are evaluated. Furthermore, the grafting of a highly dispersed system is simulated by blending two polymers with different molecular weights. Although the brush thickness growth is found to be faster on deglazed silicon, the preferential grafting of short chains occurs with equal chain selection propensity on both substrates.

2.
ACS Appl Mater Interfaces ; 16(27): 35825-35833, 2024 Jul 10.
Artigo em Inglês | MEDLINE | ID: mdl-38941159

RESUMO

Sequential infiltration synthesis (SIS) is a scalable and valuable technique for the synthesis of organic-inorganic materials with several potential applications at the industrial level. Despite the increasing interest for this technique, a clear picture of the fundamental physicochemical phenomena governing the SIS process is still missing. In this work, infiltration of Al2O3 into thin poly(methyl methacrylate) (PMMA) films using trimethyl aluminum (TMA) and H2O as precursors is investigated by operando dynamic spectroscopic ellipsometry (SE) analysis. The TMA diffusion coefficient values at temperatures ranging from 70 to 100 °C are determined, and the activation energy for the TMA diffusion process in PMMA is found to be Ea = 2.51 ± 0.03 eV. Additionally, systematic data about reactivity of TMA molecules with the PMMA matrix as a function of temperature are obtained. These results provide important information, paving the way to the development of a comprehensive theory for the modeling of the SIS process.

3.
ACS Appl Mater Interfaces ; 15(50): 57928-57940, 2023 Dec 20.
Artigo em Inglês | MEDLINE | ID: mdl-37314734

RESUMO

In this work, block copolymer lithography and ultralow energy ion implantation are combined to obtain nanovolumes with high concentrations of phosphorus atoms periodically disposed over a macroscopic area in a p-type silicon substrate. The high dose of implanted dopants grants a local amorphization of the silicon substrate. In this condition, phosphorus is activated by solid phase epitaxial regrowth (SPER) of the implanted region with a relatively low temperature thermal treatment preventing diffusion of phosphorus atoms and preserving their spatial localization. Surface morphology of the sample (AFM, SEM), crystallinity of the silicon substrate (UV Raman), and position of the phosphorus atoms (STEM- EDX, ToF-SIMS) are monitored during the process. Electrostatic potential (KPFM) and the conductivity (C-AFM) maps of the sample surface upon dopant activation are compatible with simulated I-V characteristics, suggesting the presence of an array of not ideal but working p-n nanojunctions. The proposed approach paves the way for further investigations on the possibility to modulate the dopant distribution within a silicon substrate at the nanoscale by changing the characteristic dimension of the self-assembled BCP film.

4.
Nanomaterials (Basel) ; 13(6)2023 Mar 08.
Artigo em Inglês | MEDLINE | ID: mdl-36985871

RESUMO

In vitro and in vivo stimulation and recording of neuron action potential is currently achieved with microelectrode arrays, either in planar or 3D geometries, adopting different materials and strategies. IrO2 is a conductive oxide known for its excellent biocompatibility, good adhesion on different substrates, and charge injection capabilities higher than noble metals. Atomic layer deposition (ALD) allows excellent conformal growth, which can be exploited on 3D nanoelectrode arrays. In this work, we disclose the growth of nanocrystalline rutile IrO2 at T = 150 °C adopting a new plasma-assisted ALD (PA-ALD) process. The morphological, structural, physical, chemical, and electrochemical properties of the IrO2 thin films are reported. To the best of our knowledge, the electrochemical characterization of the electrode/electrolyte interface in terms of charge injection capacity, charge storage capacity, and double-layer capacitance for IrO2 grown by PA-ALD was not reported yet. IrO2 grown on PtSi reveals a double-layer capacitance (Cdl) above 300 µF∙cm-2, and a charge injection capacity of 0.22 ± 0.01 mC∙cm-2 for an electrode of 1.0 cm2, confirming IrO2 grown by PA-ALD as an excellent material for neuroelectronic applications.

5.
ACS Appl Polym Mater ; 4(10): 7191-7203, 2022 Oct 14.
Artigo em Inglês | MEDLINE | ID: mdl-36277172

RESUMO

The introduction of inorganic materials into biopolymers has been envisioned as a viable option to modify the optical and structural properties of these polymers and promote their exploitation in different application fields. In this work, the growth of Al2O3 in freestanding ∼30-µm-thick poly(butylene succinate) (PBS) films by sequential infiltration (SIS) at 70 °C via trimethylaluminum (TMA) and H2O precursors was investigated for the first time. The incorporation of Al2O3 into the PBS matrix was clearly demonstrated by XPS analysis and SEM-EDX cross-sectional images showing a homogeneous Al2O3 distribution inside the PBS films. Raman measurements on infiltrated freestanding PBS show a reduction of the signal related to the ester carbonyl group as compared to pristine freestanding PBS films. Accordingly, FTIR and NMR characterization highlighted that the ester group is involved in polymer-precursor interaction, leading to the formation of an aliphatic group and the concomitant rupture of the main polymeric chain. Al2O3 mass uptake as a function of the number of SIS cycles was studied by infiltration in thin PBS films spin-coated on Si substrates ranging from 30 to 70 nm. Mass uptake in the PBS films was found to be much higher than in standard poly(methyl methacrylate) (PMMA) films, under the same process conditions. Considering that the density of reactive sites in the two polymers is roughly the same, the observed difference in Al2O3 mass uptake is explained based on the different free volume of these polymers and the specific reaction mechanism proposed for PBS. These results assessed the possibility to use SIS as a tool for the growth of metal oxides into biopolymers, paving the way to the synthesis of organic-inorganic hybrid materials with tailored characteristics.

6.
ACS Appl Nano Mater ; 5(7): 9818-9828, 2022 Jul 22.
Artigo em Inglês | MEDLINE | ID: mdl-35937588

RESUMO

Nanostructured organic templates originating from self-assembled block copolymers (BCPs) can be converted into inorganic nanostructures by sequential infiltration synthesis (SIS). This capability is particularly relevant within the framework of advanced lithographic applications because of the exploitation of the BCP-based nanostructures as hard masks. In this work, Al2O3 dot and antidot arrays were synthesized by sequential infiltration of trimethylaluminum and water precursors into perpendicularly oriented cylinder-forming poly(styrene-block-methyl methacrylate) (PS-b-PMMA) BCP thin films. The mechanism governing the effective incorporation of Al2O3 into the PMMA component of the BCP thin films was investigated evaluating the evolution of the lateral and vertical dimensions of Al2O3 dot and antidot arrays as a function of the SIS cycle number. The not-reactive PS component and the PS/PMMA interface in self-assembled PS-b-PMMA thin films result in additional paths for diffusion and supplementary surfaces for sorption of precursor molecules, respectively. Thus, the mass uptake of Al2O3 into the PMMA block of self-assembled PS-b-PMMA thin films is higher than that in pure PMMA thin films.

7.
ACS Appl Mater Interfaces ; 14(21): 24565-24574, 2022 Jun 01.
Artigo em Inglês | MEDLINE | ID: mdl-35585656

RESUMO

Resistive switching (RS) devices with binary and analogue operation are expected to play a key role in the hardware implementation of artificial neural networks. However, state of the art RS devices based on binary oxides (e.g., HfO2) still do not exhibit enough competitive performance. In particular, variability and yield still need to be improved to fit industrial requirements. In this study, we fabricate RS devices based on a TaOx/HfO2 bilayer stack, using a novel methodology that consists of the in situ oxidation of a Ta film inside the atomic layer deposition (ALD) chamber in which the HfO2 film is deposited. By means of X-ray reflectivity (XRR) and time-of-flight secondary ion mass spectrometry (ToF-SIMS), we realized that the TaOx film shows a substoichiometric structure, and that the TaOx/HfO2 bilayer stack holds a well-layered structure. An exhaustive electrical characterization of the TaOx/HfO2-based RS devices shows improved switching performance compared to the single-layer HfO2 counterparts. The main advantages are higher forming yield, self-compliant switching, lower switching variability, enhanced reliability, and better synaptic plasticity.

8.
Analyst ; 146(20): 6145-6155, 2021 Oct 11.
Artigo em Inglês | MEDLINE | ID: mdl-34487131

RESUMO

In the present study, a reliable and robust method was developed to quantify the molecular weight discrimination that can occur in grafting to reactions via indirect MALDI-TOF quantification of the molecular weights of grafted chains by comparing the characteristics of the polymeric material before the grafting reaction with those of the unreacted material recovered after grafting. Two polystyrene samples with different molecular weights and narrow molecular weight distributions were employed to prepare model blends that were grafted to silicon wafers and an analytical method was developed and validated to assess and quantify the modification of the molecular weight distribution that takes place during the grafting to process. Particular attention was paid to the standardization of the sample treatment and to find the best data collection and calibration methodologies in order to have statistically significant data even in the presence of a very scarce amount of the sample. Furthermore, to evaluate the accuracy of the analytical procedure, the lack of suitable standard and certified materials required a further experiment to be carried out by comparing the new optimized MALDI-TOF method and direct measurements using TGA-GC-MS on a model blend containing deuterated and hydrogenated polystyrene samples with appropriate molecular weights and distributions. The optimized method was applied on samples obtained by a thermally induced grafting to reaction from ultrathin polymer films and, for the first time, to our knowledge, an enrichment effect occurring in the ultrathin grafted layer obtained from a melt was evidenced.

9.
Nanotechnology ; 32(2): 025303, 2021 Jan 08.
Artigo em Inglês | MEDLINE | ID: mdl-33007762

RESUMO

We report on a systematic analysis of phosphorus diffusion in silicon on insulator thin film via spin-on-dopant process (SOD). This method is used to provide an impurity source for semiconductor junction fabrication. The dopant is first spread into the substrate via SOD and then diffused by a rapid thermal annealing process. The dopant concentration and electron mobility were characterized at room and low temperature by four-probe and Hall bar electrical measurements. Time-of-flight-secondary ion mass spectroscopy was performed to estimate the diffusion profile of phosphorus for different annealing treatments. We find that a high phosphorous concentration (greater than 1020 atoms cm-3) with a limited diffusion of other chemical species and allowing to tune the electrical properties via annealing at high temperature for short time. The ease of implementation of the process, the low cost of the technique, the possibility to dope selectively and the uniform doping manufactured with statistical process control show that the methodology applied is very promising as an alternative to the conventional doping methods for the implementation of optoelectronic devices.

10.
Soft Matter ; 16(23): 5525-5533, 2020 Jun 21.
Artigo em Inglês | MEDLINE | ID: mdl-32500912

RESUMO

The ordering kinetics of standing cylinder-forming polystyrene-block-poly(methyl methacrylate) block copolymers (molecular weight: 39 kg mol-1) close to the order-disorder transition is experimentally investigated following the temporal evolution of the correlation length at different annealing temperatures. The growth exponent of the grain-coarsening process is determined to be 1/2, signature of a curvature-driven ordering mechanism. The measured activation enthalpy and the resulting Meyer-Neldel temperature for this specific copolymer along with the data already known for PS-b-PMMA block copolymers in strong segregation limit allow investigation of the interplay between the ordering kinetics and the thermodynamic driving force during the grain coarsening. These findings unveil various phenomena concomitantly occurring during the thermally activated ordering kinetics at segmental, single chain, and collective levels.

11.
ACS Appl Mater Interfaces ; 12(6): 7777-7787, 2020 Feb 12.
Artigo em Inglês | MEDLINE | ID: mdl-31967449

RESUMO

The orientation of block copolymer (BCP) features in thin films can be obtained by spin-coating a BCP solution on a substrate surface functionalized by a polymer brush layer of the appropriate random copolymer (RCP). Although this approach is well established, little work reporting the amount and distribution of residual solvent in the polymer film after the spin-coating process is available. Moreover, no information can be found on the effect of trapped solvent on the interface between the BCP film and RCP brush. In this work, systems consisting of poly(styrene)-b-poly(methyl methacrylate) thin films deposited on poly(styrene-r-methyl methacrylate) brush layers are investigated by combining neutron reflectivity (NR) experiments with simulation techniques. An increase in the amount of trapped solvent is observed by NR as the BCP film thickness increases accompanied by a significant decrease of the interpenetration length between the BCP and RCP, thus suggesting that the interpenetration between grafted chains and block copolymer chains is hampered by the solvent. Hybrid particle-field molecular dynamics simulations of the analyzed system confirm the experimental observations and demonstrate a clear correlation between the interpenetration length and the amount of trapped solvent.

12.
ACS Nano ; 12(7): 7076-7085, 2018 Jul 24.
Artigo em Inglês | MEDLINE | ID: mdl-29952543

RESUMO

We investigated the dewetting process on flat and chemically patterned surfaces of ultrathin films (thickness between 2 and 15 nm) of a cylinder forming polystyrene- block-poly(methyl methacrylate) (PS- b-PMMA) spin coated on poly(styrene- r-methyl methacrylate) random copolymers (RCPs). When the PS- b-PMMA film dewets on a 2 nm-thick RCP layer, the ordering of the hexagonally packed PMMA cylinders in the dewetted structures extends over distances far exceeding the correlation length obtained in continuous block copolymer (BCP) films. As a result, micrometer-sized circular droplets featuring defectless single grains of self-assembled PS- b-PMMA with PMMA cylinders perpendicularly oriented with respect to the substrate are generated and randomly distributed on the substrate. Additionally, alignment of the droplets along micrometric lines was achieved by performing the dewetting process on large-scale chemically patterned stripes of 2 nm thick RCP films by laser lithography. By properly adjusting the periodicity of the chemical pattern, it was possible to tune and select the geometrical characteristics of the dewetted droplets in terms of maximum thickness, contact angle and diameter while maintaining the defectless single grain perpendicular cylinder morphology of the circular droplets.

13.
Nanotechnology ; 29(26): 260201, 2018 Jun 29.
Artigo em Inglês | MEDLINE | ID: mdl-29708101
14.
ACS Nano ; 12(1): 178-186, 2018 01 23.
Artigo em Inglês | MEDLINE | ID: mdl-29202227

RESUMO

An effective bottom-up technology for precisely controlling the amount of dopant atoms tethered on silicon substrates is presented. Polystyrene and poly(methyl methacrylate) polymers with narrow molecular weight distribution and end-terminated with a P-containing moiety were synthesized with different molar mass. The polymers were spin coated and subsequently end-grafted onto nondeglazed silicon substrates. P atoms were bonded to the surface during the grafting reaction, and their surface density was set by the polymer molar mass, according to the self-limiting nature of the "grafting to" reaction. Polymeric material was removed by O2 plasma hashing without affecting the tethered P-containing moieties on the surface. Repeated cycles of polymer grafting followed by plasma hashing led to a cumulative increase, at constant steps, in the dose of P atoms grafted to the silicon surface. P injection in the silicon substrate was promoted and precisely controlled by high-temperature thermal treatments. Sheet resistance measurements demonstrated effective doping of silicon substrate.

15.
ACS Appl Mater Interfaces ; 9(18): 15685-15697, 2017 May 10.
Artigo em Inglês | MEDLINE | ID: mdl-28397488

RESUMO

The self-assembly (SA) of diblock copolymers (DBCs) based on phase separation into different morphologies of small and high-density features is widely investigated as a patterning and nanofabrication technique. The integration of conventional top-down approaches with the bottom-up SA of DBCs enables the possibility to address the gap in nanostructured lateral length standards for nanometrology, consequently supporting miniaturization processes in device fabrication. On this topic, we studied the pattern characteristic dimensions (i.e., center-to-center distance L0 and diameter D) of a cylinder-forming polystyrene-b-poly( methyl methacrylate) PS-b-PMMA (54 kg mol-1, styrene fraction 70%) DBC when confined within periodic SiO2 trenches of different widths (W, ranging between 75 and 600 nm) and fixed length (l, 5.7 µm). The characteristic dimensions of the PMMA cylinder structure in the confined configurations were compared with those obtained on a flat surface (L0 = 27.8 ± 0.5 nm, D = 13.0 ± 1.0 nm). The analysis of D as a function of W evolution indicates that the eccentricity of the PMMA cylinders decreases as a result of the deformation of the cylinder in the direction perpendicular to the trenches. The center-to-center distance in the direction parallel to the long side of the trenches (L0l) is equal to L0 measured on the flat surface, whereas the one along the short side (L0w) is subjected to an appreciable variation (ΔL0w = 5 nm) depending on W. The possibility of finely tuning L0w maintaining constant L0l paves the way to the realization of a DBC-based transfer standard for lateral length calibration with periods in the critical range between 20 and 50 nm wherein no commercial transfer standards are available. A prototype transfer standard with cylindrical holes was used to calibrate the linear correction factor c(Δx')xx' of an atomic force microscope for a scan length of Δx' = 1 µm. The relative standard uncertainty of the correction factor was only 1.3%, and the second-order nonlinear correction was found to be significant.

16.
ACS Appl Mater Interfaces ; 9(12): 11054-11063, 2017 Mar 29.
Artigo em Inglês | MEDLINE | ID: mdl-28263052

RESUMO

The morphological evolution of cylinder-forming poly(styrene)-b-poly(methyl methacrylate) block copolymer (BCP) thick films treated at high temperatures in the rapid thermal processing (RTP) machine was monitored by means of in-depth grazing-incidence small-angle X-ray scattering (GISAXS). The use of this nondisruptive technique allowed one to reveal the formation of buried layers composed of both parallel- and perpendicular-oriented cylinders as a function of the film thickness (24 ≤ h ≤ 840 nm) and annealing time (0 ≤ t ≤ 900 s). Three distinct behaviors were observed depending on the film thickness. Up to h ≤ 160 nm, a homogeneous film consisting of perpendicular-oriented cylinders is observed. When h is between 160 and 700 nm, a decoupling process between both the air-BCP and substrate-BCP interfaces takes place, leading to the formation of mixed orientations (parallel and perpendicular) of the cylinders. Finally, for h > 700 nm, the two interfaces are completely decoupled, and the formation of a superficial layer of about 50 nm composed of perpendicular cylinders is observed. Furthermore, the through-film morphology affects the nanodomain long-range order, which substantially decreases in correspondence with the beginning of the decoupling process. When the thick samples are exposed to longer thermal treatments, an increase in the long-range order of the nanodomains occurs, without any sensible variation of the thickness of the superficial layer.

17.
ACS Appl Mater Interfaces ; 9(37): 31215-31223, 2017 Sep 20.
Artigo em Inglês | MEDLINE | ID: mdl-28195457

RESUMO

Block copolymers (BCPs) are emerging as a cost-effective nanofabrication tool to complement conventional optical lithography because they self-assemble in highly ordered polymeric templates with well-defined sub-20-nm periodic features. In this context, cylinder-forming polystyrene-block-poly(methyl methacrylate) BCPs are revealed as an interesting material of choice because the orientation of the nanostructures with respect to the underlying substrate can be effectively controlled by a poly(styrene-random-methyl methacrylate) random copolymer (RCP) brush layer grafted to the substrate prior to BCP deposition. In this work, we investigate the self-assembly process and lateral order evolution in RCP + BCP systems consisting of cylinder-forming PS-b-PMMA (67 kg mol-1, PS fraction of ∼70%) films with thicknesses of 30, 70, 100, and 130 nm deposited on RCP brush layers having thicknesses ranging from 2 to 20 nm. The self-assembly process is promoted by a rapid thermal processing machine operating at 250 °C for 300 s. The level of lateral order is determined by measuring the correlation length (ξ) in the self-assembled BCP films. Moreover, the amount of solvent (Φ) retained in the RCP + BCP systems is measured as a function of the thicknesses of the RCP and BCP layers, respectively. In the 30-nm-thick BCP films, an increase in Φ as a function of the thickness of the RCP brush layer significantly affects the self-assembly kinetics and the final extent of the lateral order in the BCP films. Conversely, no significant variations of ξ are observed in the 70-, 100-, and 130-nm-thick BCP films with increasing Φ.

18.
Nanotechnology ; 28(1): 014001, 2017 Jan 06.
Artigo em Inglês | MEDLINE | ID: mdl-27897142

RESUMO

In this work, we investigate the ability to control Si nanoparticles (NPs) spatially arranged in a hexagonal network of 20 nm wide nanovolumes at controlled depth within SiO2 thin films. To achieve this goal an unconventional lithographic technique was implemented based on a bottom-up approach, that is fully compatible with the existing semiconductor technology. The method combines ultra-low energy ion beam synthesis with nanostructured block-copolymer thin films that are self-assembled on the SiO2 substrates to form a nanoporous template with hexagonally packed pores. A systematic analytical investigation using time of flight-secondary ion mass spectroscopy and low-loss energy filtered transmission electron microscopy demonstrates that by adjusting few fabrication parameters, it is possible to narrow the size distribution of the NPs and to control the number of NPs per nanovolume. Experimental results are critically discussed on the basis of literature data, providing a description of the mechanism involved in the formation of Si NPs.

19.
ACS Appl Mater Interfaces ; 8(49): 33933-33942, 2016 Dec 14.
Artigo em Inglês | MEDLINE | ID: mdl-27960442

RESUMO

Sequential infiltration synthesis (SIS) provides an original strategy to grow inorganic materials by infiltrating gaseous precursors in polymeric films. Combined with microphase-separated nanostructures resulting from block copolymer (BCP) self-assembly, SIS selectively binds the precursors to only one domain, mimicking the morphology of the original BCP template. This methodology represents a smart solution for the fabrication of inorganic nanostructures starting from self-assembled BCP thin films, in view of advanced lithographic application and of functional nanostructure synthesis. The SIS process using trimethylaluminum (TMA) and H2O precursors in self-assembled PS-b-PMMA BCP thin films was established as a model system, where the PMMA phase is selectively infiltrated. However, the temperature range allowed by polymeric material restricts the available precursors to highly reactive reagents, such as TMA. In order to extend the SIS methodology and access a wide library of materials, a crucial step is the implementation of processes using reactive reagents that are fully compatible with the initial polymeric template. This work reports a comprehensive morphological (SEM, SE, AFM) and physicochemical (XPS) investigation of alumina nanostructures synthesized by means of a SIS process using O3 as oxygen precursor in self-assembled PS-b-PMMA thin films with lamellar morphology. The comparison with the H2O-based SIS process validates the possibility to use O3 as oxygen precursor, expanding the possible range of precursors for the fabrication of inorganic nanostructures.

20.
ACS Appl Mater Interfaces ; 8(12): 8280-8, 2016 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-26959626

RESUMO

The self-assembly of block copolymer (BCP) thin films produces dense and ordered nanostructures. Their exploitation as templates for nanolithography requires the capability to control the lateral order of the nanodomains. Among a multiplicity of polymers, the widely studied all-organic polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) BCP can easily form nanodomains perpendicularly oriented with respect to the substrate, since the weakly unbalanced surface interactions are effectively neutralized by grafting to the substrate an appropriate poly(styrene-random-methyl methacrylate) P(S-r-MMA) random copolymer (RCP). This benefit along with the selective etching of the PMMA component and the chemical similarity with the standard photoresist materials deserved for PS-b-PMMA the role of BCP of choice for the technological implementation in nanolithography. This work demonstrates that the synergic effect of thermal annealing with the initial solvent naturally trapped in the basic RCP + BCP system after the deposition process can be exploited to enhance the lateral order. The solvent content embedded in the total RCP + BCP system can be tuned by changing the molecular weight and thus the thickness of the grafted RCP brush layer, without introducing external reservoirs or dedicated setup and/or systems. The appropriate supply of solvent supports a grain coarsening kinetics following a power law with a 1/3 growth exponent for standing hexagonally ordered cylinders.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA