Your browser doesn't support javascript.
loading
Isotropic Atomic Layer Etching of ZnO Using Acetylacetone and O2 Plasma.
Mameli, A; Verheijen, M A; Mackus, A J M; Kessels, W M M; Roozeboom, F.
Afiliación
  • Mameli A; Department of Applied Physics , Eindhoven University of Technology , P.O. Box 513, Eindhoven 5600 MB , The Netherlands.
  • Verheijen MA; Department of Applied Physics , Eindhoven University of Technology , P.O. Box 513, Eindhoven 5600 MB , The Netherlands.
  • Mackus AJM; Department of Applied Physics , Eindhoven University of Technology , P.O. Box 513, Eindhoven 5600 MB , The Netherlands.
  • Kessels WMM; Department of Applied Physics , Eindhoven University of Technology , P.O. Box 513, Eindhoven 5600 MB , The Netherlands.
  • Roozeboom F; Department of Applied Physics , Eindhoven University of Technology , P.O. Box 513, Eindhoven 5600 MB , The Netherlands.
ACS Appl Mater Interfaces ; 10(44): 38588-38595, 2018 Nov 07.
Article en En | MEDLINE | ID: mdl-30286289
ABSTRACT
Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼801) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.
Palabras clave

Texto completo: 1 Colección: 01-internacional Banco de datos: MEDLINE Idioma: En Revista: ACS Appl Mater Interfaces Asunto de la revista: BIOTECNOLOGIA / ENGENHARIA BIOMEDICA Año: 2018 Tipo del documento: Article País de afiliación: Países Bajos

Texto completo: 1 Colección: 01-internacional Banco de datos: MEDLINE Idioma: En Revista: ACS Appl Mater Interfaces Asunto de la revista: BIOTECNOLOGIA / ENGENHARIA BIOMEDICA Año: 2018 Tipo del documento: Article País de afiliación: Países Bajos