Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 46
Filtrar
1.
J Am Chem Soc ; 141(37): 14736-14741, 2019 09 18.
Artículo en Inglés | MEDLINE | ID: mdl-31460760

RESUMEN

Preparation of an unzipping polyester is reported. The monomer was prepared from benzoic acid in a four-step sequence. Step growth polymerization of the monomer provides the target polymer. Efficient depolymerization upon irradiation at 254 nm was confirmed with a quantum yield of >0.8. The photolysis mechanism was investigated, and the results of radical trapping experiments are consistent with an initial Norrish type I like homolysis followed by a radical mediated depropagation reaction driven by aromatization.

2.
Soft Matter ; 11(47): 9107-14, 2015 Dec 21.
Artículo en Inglés | MEDLINE | ID: mdl-26411259

RESUMEN

Recently, alignment of block copolymer domains has been achieved using a topographically patterned substrate with a sidewall preferential to one of the blocks. This strategy has been suggested as an option to overcome the patterning resolution challenges facing chemoepitaxy strategies, which utilize chemical stripes with a width of about half the period of block copolymer to orient the equilibrium morphologies. In this work, single chain in mean field simulation methodology was used to study the self assembly of symmetric block copolymers on topographically patterned substrates with sidewall interactions. Random copolymer brushes grafted to the background region (space between patterns) were modeled explicitly. The effects of changes in pattern width, film thicknesses and strength of sidewall interaction on the resulting morphologies were examined and the conditions which led to perpendicular morphologies required for lithographic applications were identified. A number of density multiplication schemes were studied in order to gauge the efficiency with which the sidewall pattern can guide the self assembly of block copolymers. The results indicate that such a patterning technique can potentially utilize pattern widths of the order of one-two times the period of block copolymer and still be able to guide ordering of the block copolymer domains up to 8X density multiplication.

3.
J Org Chem ; 80(15): 7530-5, 2015 Aug 07.
Artículo en Inglés | MEDLINE | ID: mdl-26153720

RESUMEN

Amine photobase generators (PBGs) are uncommon yet useful compounds. Rarer still are examples of PBGs that are active at visible wavelengths. We report the synthesis and characterization of new photolabile amine protecting groups that are active under visible light. The new chromophore, benzothiophene imino-phenylacetonitrile (BTIPA), was synthesized in four steps without use of chromatography and found to release any one of several amines upon exposure to 405 nm light. The chromophore was also demonstrated to be useful as a Merrifield synthesis protecting group. Experimental evidence suggests a sequential, two stage photolysis mechanism which leads to a nonlinear response to dose.

4.
J Org Chem ; 78(5): 1735-41, 2013 Mar 01.
Artículo en Inglés | MEDLINE | ID: mdl-23106122

RESUMEN

The investigation of the photochemistry of a two-stage photobase generator (PBG) is described. Absorption of a photon by a latent PBG (1) (first step) produces a PBG (2). Irradiation of 2 in the presence of water produces a base (second step). This two-photon sequence (1 + hν → 2 + hν → base) is an important component in the design of photoresists for pitch division technology, a method that doubles the resolution of projection photolithography for the production of microelectronic chips. In the present system, the excitation of 1 results in a Norrish type II intramolecular hydrogen abstraction to generate a 1,4-biradiacal that undergoes cleavage to form 2 and acetophenone (Φ âˆ¼ 0.04). In the second step, excitation of 2 causes cleavage of the oxime ester (Φ = 0.56) followed by base generation after reaction with water.

5.
J Am Chem Soc ; 134(37): 15245-8, 2012 Sep 19.
Artículo en Inglés | MEDLINE | ID: mdl-22938015

RESUMEN

Imaging flow cytometry was used to analyze the self-assembly of DNA-conjugated polystyrene microspheres. This technique enables quantitative analysis of the assembly process and thereby enables detailed analysis of the effect of structural and process variables on the assembly yield. In a demonstration of the potential of this technique, the influence of DNA strand base pair (bp) length was examined, and it was found that 50 bp was sufficient to drive the assembly of microspheres efficiently, forming not only dimers but also chainlike structures. The effect of stoichiometry on the yield was also examined. The analysis demonstrated that self-assembly of 50 bp microspheres can be driven nearly to completion by stoichiometric excess in a manner similar to Le Chatelier's principle in common chemical equilibrium.


Asunto(s)
ADN/química , Citometría de Flujo/métodos , Microesferas , Secuencia de Bases , Cartilla de ADN
6.
Langmuir ; 27(5): 2000-6, 2011 Mar 01.
Artículo en Inglés | MEDLINE | ID: mdl-21214210

RESUMEN

The orientation of cylinder-forming poly(styrene-block-methyl methacrylate) [P(S-b-MMA)] was investigated on two sets of polymeric surface treatments: 10 para-substituted polystyrene derivatives with <10 mol % poly(4-vinylbenzyl azide) and a series of poly(styrene-random-4-vinylbenzyl azide) [P(S-r-VBzAz)] copolymers with 5-100 mol % poly(4-vinylbenzyl azide). The copolymers were spin-coated to form thin films and then cross-linked by heating. The resulting films exhibited a range of surface tensions from 21 to 45 dyn/cm. Perpendicular orientation of P(S-b-MMA) cylinders was achieved with poly(p-bromostyrene) and all the [P(S-r-VBzAz)] copolymer surface treatments, most notably the homopolymer of poly(4-vinylbenzyl azide). Films made from these simple copolymers are as effective as random terpolymer alignment layers commonly made from both block monomers and a cross-linkable monomer.

7.
ACS Appl Mater Interfaces ; 12(20): 23399-23409, 2020 May 20.
Artículo en Inglés | MEDLINE | ID: mdl-32345022

RESUMEN

Polarity-switching photopatternable guidelines can be directly used to both orient and direct the self-assembly of block copolymers. We report the orientation and alignment of poly(styrene-block-4-trimethylsilylstyrene) (PS-b-PTMSS) with a domain periodicity, L0, of 44 nm on thin photopatternable grafting surface treatments (pGSTs) and cross-linkable surface treatments (pXSTs), containing acid-labile 4-tert-butoxystyrene monomer units. The surface treatment was exposed using electron beam lithography to create well-defined linear arrays of neutral and preferential regions. Directed self-assembly (DSA) of PS-b-PTMSS with much lower defectivity was observed on pXST than on pGST guidelines. The study of the effect of film thickness on photoacid diffusion by Fourier transform infrared spectroscopy and near-edge X-ray absorption fine structure spectroscopy suggested slower diffusion in thinner films, potentially enabling production of guidelines with sharper interfaces between the unexposed and exposed lines, and thus, the DSA of PS-b-PTMSS on thinner pXST guidelines resulted in better alignment control.

8.
J Phys Chem A ; 113(33): 9337-47, 2009 Aug 20.
Artículo en Inglés | MEDLINE | ID: mdl-19630422

RESUMEN

VUV absorbance and index of refraction data for a series of linear and cyclic alkanes have been collected in order to understand the relationship between the electronic excitation wavelength (or absorbance edge), index of refraction, and molecular structure. The absorbance edge and index for a homologous series of both linear and cyclic alkanes increase with increasing carbon number. The optical properties of complex cycloalkanes do not vary predictably with increasing carbon number but instead depend on variations in the hydrocarbon structure in addition to hydrocarbon size. An understanding of the fundamental optical properties of this class of compounds is directly applicable to the identification of a high index and low-absorbance fluid for 193 nm immersion lithography.

9.
ACS Appl Mater Interfaces ; 11(51): 48419-48427, 2019 Dec 26.
Artículo en Inglés | MEDLINE | ID: mdl-31752485

RESUMEN

Directed self-assembly (DSA) of high-χ block copolymer thin films is a promising approach for nanofabrication of features with length scale below 10 nm. Recent work has highlighted that kinetics are of crucial importance in determining whether a block copolymer film can self-assemble into a defect-free ordered state. In this work, different strategies for improving the rate of defect annihilation in the DSA of a silicon-containing, high-χ block copolymer film were explored. Chemo-epitaxial DSA of poly(4-methoxystyrene-block-4-trimethylsilylstyrene) with 5× density multiplication was implemented on 300 mm wafers by using production level nanofabrication tools, and the influence of different processes and material parameters on dislocation defect density was studied. It was observed that only at sufficiently low χN can the block copolymer assemble into well-aligned patterns within a practical time frame. In addition, there is a clear correlation between the rate of the lamellar grain coarsening in unguided self-assembly and the rate of dislocation annihilation in DSA. For a fixed chemical pattern, the density of kinetically trapped dislocation defects can be predicted by measuring the correlation length of the unguided self-assembly under the same process conditions. This learning enables more efficient screening of block copolymers and annealing conditions by rapid analysis of block copolymer films that were allowed to self-assemble into unguided (commonly termed fingerprint) patterns.

10.
Macromolecules ; 51(1): 173-180, 2018 Jan 09.
Artículo en Inglés | MEDLINE | ID: mdl-29706666

RESUMEN

Advancements in the directed self-assembly of block copolymers (BCPs) have prompted the development of new materials with larger effective interaction parameters (χe). This enables BCP systems with phase separation at increasingly small degrees of polymerization (N). Very often these systems reside near the order-disorder transition and fit between the weak and strong segregation limits where the behavior of BCP systems is not as thoroughly understood. Utilizing resonant soft X-ray reflectivity (RSoXR) enables both the BCP pitch (L0) and interface width (wM) to be determined simultaneously, through a direct characterization of the composition profile of BCP lamellae oriented parallel to a substrate. A series of high χe BCPs with χe ranging from ≈0.04 to 0.25 and χeN from 19 to 70 have been investigated. The L0/wm ratio serves as an important metric for the feasibility of a material for nanopatterning applications; the results of the RSoXR measurement are used to establish a relationship between χe and L0/wm. The results of this analysis are correlated with experimentally established limits for the functionality of BCPs in nanopatterning applications. These results also provide guidance for the magnitude of χe needed to achieve small interface width for samples with sub-10 nm L0.

11.
Phys Rev E ; 96(5-1): 052501, 2017 Nov.
Artículo en Inglés | MEDLINE | ID: mdl-29347737

RESUMEN

Single chain in mean-field Monte Carlo simulations were employed to study the self-assembly of block copolymers (BCP) in thin films that use trapezoidal guidelines to direct the orientation and alignment of lamellar patterns. The present study explored the influence of sidewall interactions and geometry of the trapezoidal guidelines on the self-assembly of perpendicularly oriented lamellar morphologies. When both the sidewall and the top surface exhibit preferential interactions to the same block of the BCP, trapezoidal guidelines with intermediate taper angles were found to result in less defective perpendicularly orientated morphologies. Similarly, when the sidewall and top surface are preferential to distinct blocks of the BCP, intermediate tapering angles were found to be optimal in promoting defect free structures. Such results are rationalized based on the energetics arising in the formation of perpendicularly oriented lamella on patterned substrates.


Asunto(s)
Simulación por Computador , Modelos Moleculares , Polímeros/química , Método de Montecarlo , Propiedades de Superficie
12.
ACS Nano ; 11(8): 7656-7665, 2017 08 22.
Artículo en Inglés | MEDLINE | ID: mdl-28700207

RESUMEN

The directed self-assembly (DSA) and pattern transfer of poly(5-vinyl-1,3-benzodioxole-block-pentamethyldisilylstyrene) (PVBD-b-PDSS) is reported. Lamellae-forming PVBD-b-PDSS can form well resolved 5 nm (half-pitch) features in thin films with high etch selectivity. Reactive ion etching was used to selectively remove the PVBD block, and fingerprint patterns were subsequently transferred into an underlying chromium hard mask and carbon layer. DSA of the block copolymer (BCP) features resulted from orienting PVBD-b-PDSS on guidelines patterned by nanoimprint lithography. A density multiplication factor of 4× was achieved through a hybrid chemo-/grapho-epitaxy process. Cross-sectional scanning tunneling electron microscopy/electron energy loss spectroscopy (STEM/EELS) was used to analyze the BCP profile in the DSA samples. Wetting layers of parallel orientation were observed to form unless the bottom and top surface were neutralized with a surface treatment and top coat, respectively.

13.
ACS Macro Lett ; 5(4): 460-465, 2016 Apr 19.
Artículo en Inglés | MEDLINE | ID: mdl-35607242

RESUMEN

Block copolymers are potentially useful materials for large-area 2-D patterning applications due to their spontaneous self-assembly into sub-50 nm domains. However, most thin film engineering applications require patterns of prescribed size, shape, and organization. Photopatterning is a logical choice for manipulating block copolymer features since advanced lithography tools can pattern areas as small as a single block copolymer domain. By exposing either the block copolymer or a responsive interfacial surface to patterned radiation, precise control over placement, orientation, alignment, and selective development of block copolymer domains can be achieved. This Viewpoint highlights some of the recent research in photopatterning block copolymer thin films and identifies areas of future opportunity.

14.
ACS Nano ; 10(10): 9616-9625, 2016 Oct 25.
Artículo en Inglés | MEDLINE | ID: mdl-27652909

RESUMEN

Roll-to-roll manufacturing of graphene is attractive because of its compatibility with flexible substrates and its promise of high-speed production. Several prototype roll-to-roll systems have been demonstrated, which produce large-scale graphene on polymer films for transparent conducting film applications.1-4 In spite of such progress, the quality of graphene may be influenced by the tensile forces that are applied during roll-to-roll transfer. To address this issue, we conducted in situ tensile experiments on copper foil coated with graphene grown by chemical vapor deposition, which were carried out in a scanning electron microscope. Channel cracks, which were perpendicular to the loading direction, initiated over the entire graphene monolayer at applied tensile strain levels that were about twice the yield strain of the (annealed) copper. The spacing between the channel cracks decreased with increasing applied strain, and new graphene wrinkles that were parallel to the loading direction appeared. These morphological features were confirmed in more detail by atomic force microscopy. Raman spectroscopy was used to determine the strain in the graphene, which was related to the degradation of the graphene/copper interface. The experimental data allowed the fracture toughness of graphene and interfacial properties of the graphene/copper interface to be extracted based on classical channel crack and shear-lag models. This study not only deepens our understanding of the mechanical and interfacial behavior of graphene on copper but also provides guidelines for the design of roll-to-roll processes for the dry transfer of graphene.

15.
ACS Macro Lett ; 5(12): 1306-1311, 2016 Dec 20.
Artículo en Inglés | MEDLINE | ID: mdl-35651202

RESUMEN

Block copolymers (BCPs) have the potential to play a key role in templating materials for nanoscale synthesis. BCP lithography likely will be one of the first examples of BCP-based nanomanufacturing implemented in a production setting. One of the challenges in implementing BCP lithography is that the lamella need to be oriented perpendicular to the substrate. For many systems, this requires control over interfacial energies for both components at the substrate and interface. Top coats can be designed to provide a neutral interface for both blocks on the BCP surface. The preferentiality of the top coat as a function of composition has been determined qualitatively by examining the orientation of a BCP after annealing with a top coat. Measurements of the interfacial width between the top coat and homopolymers allows the interface energy to be quantitatively determined. Resonant soft X-ray reflectivity measurements on top coat/homopolymer pairs were used to extract the Flory-Huggins parameter (χ) and interface energy (γ) as a function of top coat composition. The difference between χ and γ for each top coat/homopolymer pair was minimized at compositions that resulted in the top coat promoting perpendicular orientation. As the composition moved away from the neutral point the difference between χ and γ for each pair grew larger.

16.
ACS Nano ; 10(10): 9093-9103, 2016 Oct 25.
Artículo en Inglés | MEDLINE | ID: mdl-27712059

RESUMEN

Nanoscience and nanotechnology are poised to contribute to a wide range of fields, from health and medicine to electronics, energy, security, and more. These contributions come both directly in the form of new materials, interfaces, tools, and even properties as well as indirectly by connecting fields together. We celebrate how far we have come, and here, we look at what is to come over the next decade that will leverage the strong and growing base that we have built in nanoscience and nanotechnology.

17.
ACS Nano ; 10(11): 10152-10160, 2016 11 22.
Artículo en Inglés | MEDLINE | ID: mdl-27787994

RESUMEN

The structure, stability, and reorganization of lamella-forming block copolymer thin film surface topography ("islands" and "holes") were studied under boundary conditions driving the formation of 0.5 L0 thick structures at short thermal annealing times. Self-consistent field theory predicts that the presence of one perfectly neutral surface renders 0.5 L0 topography thermodynamically stable relative to 1 L0 thick features, in agreement with previous experimental observations. The calculated through-film structures match cross-sectional scanning electron micrographs, collectively demonstrating the pinning of edge dislocations at the neutral surface. Remarkably, near-neutral surface compositions exhibit 0.5 L0 topography metastability upon extended thermal treatment, slowly transitioning to 1 L0 islands or holes as evidenced by optical and atomic force microscopy. Surface restructuring is rationalized by invoking commensurability effects imposed by slightly preferential surfaces. The results described herein clarify the impact of interfacial interactions on block copolymer self-assembly and solidify an understanding of 0.5 L0 topography, which is frequently used to determine neutral surface compositions of considerable importance to contemporary technological applications.

18.
ACS Macro Lett ; 5(3): 391-395, 2016 Mar 15.
Artículo en Inglés | MEDLINE | ID: mdl-35614710

RESUMEN

Tin-containing block copolymers were investigated as materials for nanolithographic applications. Poly(4-trimethylstannylstyrene-block-styrene) (PSnS-PS) and poly(4-trimethylstannylstyrene-block-4-methoxystyrene) (PSnS-PMOST) synthesized by reversible addition-fragmentation chain transfer polymerization form lamellar domains with periodicities ranging from 18 to 34 nm. Thin film orientation control was achieved by thermal annealing between a neutral surface treatment and a top coat. Incorporation of tin into one block facilitates pattern transfer into SiO2 via a two-step etch process utilizing oxidative and fluorine-based etch chemistries.

19.
ACS Appl Mater Interfaces ; 7(24): 13476-83, 2015 Jun 24.
Artículo en Inglés | MEDLINE | ID: mdl-26004013

RESUMEN

The directed self-assembly (DSA) of two sub-20 nm pitch silicon-containing block copolymers (BCPs) was accomplished using a double-patterned sidewall scheme in which each lithographic prepatterned feature produced two regions for pattern registration. In doing so, the critical dimension of the lithographic prepatterns was relaxed by a factor of 2 compared to previously reported schemes for DSA. The key to enabling the double-patterned sidewall scheme is the exploitation of the oxidized sidewalls of cross-linked polystyrene formed during the pattern transfer of the resist via reactive ion etching. This results in shallow trenches with two guiding interfaces per prepatterned feature. Electron loss spectroscopy was used to study and confirm the guiding mechanism of the double-patterned sidewalls, and pattern transfer of the BCPs into a silicon substrate was achieved using reactive ion etching. The line edge roughness, width roughness, and placement error are near the target required for bit-patterned media applications, and the technique is also compatible with the needs of the semiconductor industry for high-volume manufacturing.

20.
ACS Appl Mater Interfaces ; 7(5): 3323-8, 2015 Feb 11.
Artículo en Inglés | MEDLINE | ID: mdl-25594107

RESUMEN

The directed self-assembly (DSA) of lamella-forming poly(styrene-block-trimethylsilylstyrene) (PS-PTMSS, L0=22 nm) was achieved using a combination of tailored top interfaces and lithographically defined patterned substrates. Chemo- and grapho-epitaxy, using hydrogen silsesquioxane (HSQ) based prepatterns, achieved density multiplications up to 6× and trench space subdivisions up to 7×, respectively. These results establish the compatibility of DSA techniques with a high etch contrast, Si-containing BCP that requires a top coat neutral layer to enable orientation.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA