Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 6 de 6
Filtrar
Mais filtros

Bases de dados
Tipo de documento
País de afiliação
Intervalo de ano de publicação
1.
J Chem Phys ; 160(20)2024 May 28.
Artigo em Inglês | MEDLINE | ID: mdl-38775743

RESUMO

Small molecule inhibitors (SMIs) have been gaining attention in the field of area-selective atomic layer deposition (ALD) because they can be applied in the vapor-phase. A major challenge for SMIs is that vapor-phase application leads to a disordered inhibitor layer with lower coverage as compared to self-assembled monolayers, SAMs. A lower coverage of SMIs makes achieving high selectivity for area-selective ALD more challenging. To overcome this challenge, mechanistic understanding is required for the formation of SMI layers and the resulting precursor blocking. In this study, reflection adsorption infrared spectroscopy measurements are used to investigate the performance of aniline as an SMI. Our results show that aniline undergoes catalytic surface reactions, such as hydrogenolysis, on a Ru non-growth area at substrate temperatures above 250 °C. At these temperatures, a greatly improved selectivity is observed for area-selective TaN ALD using aniline as an inhibitor. The results suggest that catalytic surface reactions of the SMI play an important role in improving precursor blocking, likely through the formation of a more carbon-rich inhibitor layer. More prominently, catalytic surface reactions can provide a new strategy for forming inhibitor layers that are otherwise very challenging or impossible to form directly through vapor-phase application.

2.
J Phys Chem C Nanomater Interfaces ; 126(10): 4845-4853, 2022 Mar 17.
Artigo em Inglês | MEDLINE | ID: mdl-35330759

RESUMO

Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.

3.
ACS Appl Mater Interfaces ; 12(47): 53519-53527, 2020 Nov 25.
Artigo em Inglês | MEDLINE | ID: mdl-33174735

RESUMO

Photoluminescence perovskite nanocrystals (NCs) have shown significant potential in optoelectronic applications in view of their narrow band emission with high photoluminescence quantum yields and color tunability. The main obstacle for practical applications is to obtain high durability against an external environment. In this work, a low temperature (50 °C) plasma-enhanced atomic layer deposition (PE-ALD) protection strategy was developed to stabilize CsPbBr3 NCs. Silica was employed as the encapsulation layer because of its excellent light transmission performance and water corrosion resistance. The growth mechanism of inorganic SiO2 via PE-ALD was investigated in detail. The Si precursor bis(diethylamino)silane (BDEAS) reacted with the hydroxyl groups (-OH) and thereby initiated the subsequent silica growth while having minimal influence to the organic ligands and did not cause PL quenching. Subsequently, O2 plasma with high reactivity was used to oxidize the amine ligands of the BDEAS precursor while did not etch the NCs. The obtained CsPbBr3 NCs/SiO2 film exhibited exceptional stability in water, light, and heat as compared to the pristine NC film. Based on this method, a white light-emitting diode with improved operational stability was successfully fabricated, which exhibited a wide color gamut (∼126% of the National Television Standard Committee). Our work successfully demonstrates an efficient protection scheme via the PE-ALD method, which extends the applied range of other materials for stabilization of perovskite NCs through this approach.

4.
ACS Mater Lett ; 2(5): 511-518, 2020 May 04.
Artigo em Inglês | MEDLINE | ID: mdl-32421046

RESUMO

With downscaling of device dimensions, two-dimensional (2D) semiconducting transition metal dichalcogenides (TMDs) such as WS2 are being considered as promising materials for future applications in nanoelectronics. However, at these nanoscale regimes, incorporating TMD layers in the device architecture with precise control of critical features is challenging using current top-down processing techniques. In this contribution, we pioneer the combination of two key avenues in atomic-scale processing: area-selective atomic layer deposition (AS-ALD) and growth of 2D materials, and demonstrate bottom-up processing of 2D WS2 nanolayers. Area-selective deposition of WS2 nanolayers is enabled using an ABC-type plasma-enhanced ALD process involving acetylacetone (Hacac) as inhibitor (A), bis(tert-butylimido)-bis(dimethylamido)-tungsten as precursor (B), and H2S plasma as the co-reactant (C) at a low deposition temperature of 250 °C. The developed AS-ALD process results in the immediate growth of WS2 on SiO2 while effectively blocking growth on Al2O3 as confirmed by in situ spectroscopic ellipsometry and ex situ X-ray photoelectron spectroscopy measurements. As a proof of concept, the AS-ALD process is demonstrated on patterned Al2O3/SiO2 surfaces. The AS-ALD WS2 films exhibited sharp Raman (E 2g 1 and A 1g) peaks on SiO2, a fingerprint of crystalline WS2 layers, upon annealing at temperatures within the thermal budget of semiconductor back-end-of-line processing (≤450 °C). Our AS-ALD process also allows selective growth on various TMDs and transition metal oxides while blocking growth on HfO2 and TiO2. It is expected that this work will lay the foundation for area-selective ALD of other 2D materials.

5.
Chem Mater ; 31(1): 2-12, 2019 Jan 08.
Artigo em Inglês | MEDLINE | ID: mdl-30774194

RESUMO

Bottom-up nanofabrication by area-selective atomic layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with atomic-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chemistry. A solution is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.

6.
ACS Nano ; 11(9): 9303-9311, 2017 09 26.
Artigo em Inglês | MEDLINE | ID: mdl-28850774

RESUMO

Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA