Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 24
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Sensors (Basel) ; 23(14)2023 Jul 19.
Artigo em Inglês | MEDLINE | ID: mdl-37514817

RESUMO

While silicon has been a steadfast semiconductor material for the past 50 years, it is now facing competition from other materials, especially for detector design. In that respect, due to its high resistance to radiation damage, silicon carbide is one of the most promising materials. In this work, we discuss the radiation damage studies of a new, large area, p-n junction silicon carbide device developed by the SiCILIA collaboration. We have studied the general performances of several devices, as a function of fluence, irradiated in different experimental conditions with different beams. A standard p-n junction silicon detector was also irradiated for comparison. The new detectors manifest excellent performance in terms of stability of the main parameters, linearity, defect distribution, charge collection efficiency, energy resolution, leakage current, etc. Experimental results evidence a radiation resistance of SiC devices more than two order of magnitude higher than Si devices. The new construction technology applied to silicon carbide material has made it possible to create very robust devices with excellent performance. These devices will soon be available for all those scientific projects where a high resistance to radiation damage is required.

2.
Sensors (Basel) ; 18(7)2018 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-30011947

RESUMO

Silicon carbide (SiC) is a compound semiconductor, which is considered as a possible alternative to silicon for particles and photons detection. Its characteristics make it very promising for the next generation of nuclear and particle physics experiments at high beam luminosity. Silicon Carbide detectors for Intense Luminosity Investigations and Applications (SiCILIA) is a project starting as a collaboration between the Italian National Institute of Nuclear Physics (INFN) and IMM-CNR, aiming at the realization of innovative detection systems based on SiC. In this paper, we discuss the main features of silicon carbide as a material and its potential application in the field of particles and photons detectors, the project structure and the strategies used for the prototype realization, and the first results concerning prototype production and their performance.

3.
Biomed Eng Online ; 13: 71, 2014 Jun 05.
Artigo em Inglês | MEDLINE | ID: mdl-24903282

RESUMO

BACKGROUND: We aimed to investigate the effect of cell-cell dipole interactions in the equilibrium distributions in dielectrophoretic devices. METHODS: We used a three dimensional coupled Monte Carlo-Poisson method to theoretically study the final distribution of a system of uncharged polarizable particles suspended in a static liquid medium under the action of an oscillating non-uniform electric field generated by polynomial electrodes. The simulated distributions have been compared with experimental ones observed in the case of MDA-MB-231 cells in the same operating conditions. RESULTS: The real and simulated distributions are consistent. In both cases the cells distribution near the electrodes is dominated by cell-cell dipole interactions which generate long chains. CONCLUSIONS: The agreement between real and simulated cells' distributions demonstrate the method's reliability. The distribution are dominated by cell-cell dipole interactions even at low density regimes (105 cell/ml). An improved estimate for the density threshold governing the interaction free regime is suggested.


Assuntos
Comunicação Celular , Eletroforese/instrumentação , Algoritmos , Linhagem Celular Tumoral , Impedância Elétrica , Eletrodos , Humanos , Método de Monte Carlo , Distribuição de Poisson
4.
Materials (Basel) ; 16(10)2023 May 18.
Artigo em Inglês | MEDLINE | ID: mdl-37241451

RESUMO

In this paper, we used micro-Raman spectroscopy in cross-section to investigate the effect of different doping on the distribution of stress in the silicon substrate and the grown 3C-SiC film. The 3C-SiC films with a thickness up to 10 µm were grown on Si (100) substrates in a horizontal hot-wall chemical vapor deposition (CVD) reactor. To quantify the influence of doping on the stress distribution, samples were non-intentionally doped (NID, dopant incorporation below 1016 cm-3), strongly n-type doped ([N] > 1019 cm-3), or strongly p-type doped ([Al] > 1019 cm-3). Sample NID was also grown on Si (111). In silicon (100), we observed that the stress at the interface is always compressive. In 3C-SiC, instead, we observed that the stress at the interface is always tensile and remains so in the first 4 µm. In the remaining 6 µm, the type of stress varies according to the doping. In particular, for 10 µm thick samples, the presence of an n-doped layer at the interface maximizes the stress in the silicon (~700 MPa) and in the 3C-SiC film (~250 MPa). In the presence of films grown on Si(111), 3C-SiC shows a compressive stress at the interface and then immediately becomes tensile following an oscillating trend with an average value of 412 MPa.

5.
Materials (Basel) ; 16(16)2023 Aug 15.
Artigo em Inglês | MEDLINE | ID: mdl-37629929

RESUMO

Metal-oxide-semiconductor (MOS) capacitors with Al2O3 as a gate insulator are fabricated on cubic silicon carbide (3C-SiC). Al2O3 is deposited both by thermal and plasma-enhanced Atomic Layer Deposition (ALD) on a thermally grown 5 nm SiO2 interlayer to improve the ALD nucleation and guarantee a better band offset with the SiC. The deposited Al2O3/SiO2 stacks show lower negative shifts of the flat band voltage VFB (in the range of about -3 V) compared with the conventional single SiO2 layer (in the range of -9 V). This lower negative shift is due to the combined effect of the Al2O3 higher permittivity (ε = 8) and to the reduced amount of carbon defects generated during the short thermal oxidation process for the thin SiO2. Moreover, the comparison between thermal and plasma-enhanced ALD suggests that this latter approach produces Al2O3 layers possessing better insulating behavior in terms of distribution of the leakage current breakdown. In fact, despite both possessing a breakdown voltage of 26 V, the T-ALD Al2O3 sample is characterised by a higher current density starting from 15 V. This can be attributable to the slightly inferior quality (in terms of density and defects) of Al2O3 obtained by the thermal approach and, which also explains its non-uniform dC/dV distribution arising by SCM maps.

6.
Micromachines (Basel) ; 14(6)2023 Jun 06.
Artigo em Inglês | MEDLINE | ID: mdl-37374785

RESUMO

In recent years, several new applications of SiC (both 4H and 3C polytypes) have been proposed in different papers. In this review, several of these emerging applications have been reported to show the development status, the main problems to be solved and the outlooks for these new devices. The use of SiC for high temperature applications in space, high temperature CMOS, high radiation hard detectors, new optical devices, high frequency MEMS, new devices with integrated 2D materials and biosensors have been extensively reviewed in this paper. The development of these new applications, at least for the 4H-SiC ones, has been favored by the strong improvement in SiC technology and in the material quality and price, due to the increasing market for power devices. However, at the same time, these new applications need the development of new processes and the improvement of material properties (high temperature packages, channel mobility and threshold voltage instability improvement, thick epitaxial layers, low defects, long carrier lifetime, low epitaxial doping). Instead, in the case of 3C-SiC applications, several new projects have developed material processes to obtain more performing MEMS, photonics and biomedical devices. Despite the good performance of these devices and the potential market, the further development of the material and of the specific processes and the lack of several SiC foundries for these applications are limiting further development in these fields.

7.
Micromachines (Basel) ; 13(7)2022 Jun 30.
Artigo em Inglês | MEDLINE | ID: mdl-35888859

RESUMO

The aim of this work was a deep spectroscopical characterization of a thick 4H SiC epitaxial layer and a comparison of results between samples before and after a thermal oxidation process carried out at 1400 °C for 48 h. Through Raman and photoluminescence (PL) spectroscopies, the carrier lifetimes and the general status of the epilayer were evaluated. Time-resolved photoluminescence (TRPL) was used to estimate carrier lifetime over the entire 250 µm epilayer using different wavelengths to obtain information from different depths. Furthermore, an analysis of stacking fault defects was conducted through PL and Raman maps to evaluate how these defects could affect the carrier lifetime, in particular after the thermal oxidation process, in comparison with non-oxidated samples. This study shows that the oxidation process allows an improvement in the epitaxial layer performances in terms of carrier lifetime and diffusion length. These results were confirmed using deep level transient spectroscopy (DLTS) measurements evidencing a decrease in the Z1/2 centers, although the oxidation generated other types of defects, ON1 and ON2, which appeared to affect the carrier lifetime less than Z1/2 centers.

8.
Cryst Growth Des ; 22(8): 4996-5003, 2022 Aug 03.
Artigo em Inglês | MEDLINE | ID: mdl-35942119

RESUMO

Despite the promising properties, the problem of cubic silicon carbide (3C-SiC) heteroepitaxy on silicon has not yet been resolved and its use in microelectronics is limited by the presence of extensive defects. In this paper, we used microphotoluminescence (µ-PL), molten KOH etching, and high-resolution scanning transmission electron microscopy (HRSTEM) to investigate the effect of nitrogen doping on the distribution of stacking faults (SFs) and assess how increasing dosages of nitrogen during chemical vapor deposition (CVD) growth inhibits the development of SFs. An innovative angle-resolved SEM observation approach of molten KOH-etched samples resulted in detailed statistics on the density of the different types of defects as a function of the growth thickness of 3C-SiC free-standing samples with varied levels of nitrogen doping. Moreover, we proceeded to shed light on defects revealed by a diamond-shaped pit. In the past, they were conventionally associated with dislocations (Ds) due to what happens in 4H-SiC, where the formation of pits is always linked with the presence of Ds. In this work, the supposed Ds were observed at high magnification (by HRSTEM), demonstrating that principally they are partial dislocations (PDs) that delimit an SF, whose development and propagation are suppressed by the presence of nitrogen. These results were compared with VESTA simulations, which allowed to simulate the 3C-SiC lattice to design two 3C-lattice domains delimited by different types of SFs. In addition, through previous experimental evidence, a preferential impact of nitrogen on the closure of 6H-like SFs was observed as compared to 4H-like SFs.

9.
Micromachines (Basel) ; 12(9)2021 Sep 03.
Artigo em Inglês | MEDLINE | ID: mdl-34577716

RESUMO

3C-SiC is an emerging material for MEMS systems thanks to its outstanding mechanical properties (high Young's modulus and low density) that allow the device to be operated for a given geometry at higher frequency. The mechanical properties of this material depend strongly on the material quality, the defect density, and the stress. For this reason, the use of SiC in Si-based microelectromechanical system (MEMS) fabrication techniques has been very limited. In this work, the complete characterization of Young's modulus and residual stress of monocrystalline 3C-SiC layers with different doping types grown on <100> and <111> oriented silicon substrates is reported, using a combination of resonance frequency of double clamped beams and strain gauge. In this way, both the residual stress and the residual strain can be measured independently, and Young's modulus can be obtained by Hooke's law. From these measurements, it has been observed that Young's modulus depends on the thickness of the layer, the orientation, the doping, and the stress. Very good values of Young's modulus were obtained in this work, even for very thin layers (thinner than 1 µm), and this can give the opportunity to realize very sensitive strain sensors.

10.
Materials (Basel) ; 14(4)2021 Feb 19.
Artigo em Inglês | MEDLINE | ID: mdl-33669492

RESUMO

The purpose of this work is to study the 4H-SiC epitaxial layer properties for the fabrication of a device for neutron detection as an alternative material to diamond detectors used in this field. We have studied a high growth rate process to grow a thick epitaxial layer (250 µm) of 4H-SiC and, in order to estimate the quality of the epitaxial layer, an optical characterization was done through Photoluminescence (PL) spectroscopy for stacking fault defect evaluation. Micro Raman spectroscopy was used for simultaneous determination of both carrier lifetime and induced carriers in equilibrium. We have compared these results with other two samples with an epitaxial layer of 100 micron, obtained with two different growth rates, 60 and 90 µm/h, respectively. From Raman measurements it has been observed that both the growth rate and the grown epitaxial layer thickness have an effect on the measured carrier lifetime. A comparison between different kinds of stacking faults (SF) was done, evaluating the influence of these defects on the carrier lifetime as a function of the injection level and it was observed that only at a low injection is the effect on the carrier lifetime low.

11.
Micromachines (Basel) ; 12(2)2021 Jan 26.
Artigo em Inglês | MEDLINE | ID: mdl-33530350

RESUMO

An essential method to investigate neuromodulation effects of an invasive neural interface (INI) is magnetic resonance imaging (MRI). Presently, MRI imaging of patients with neural implants is highly restricted in high field MRI (e.g., 3 T and higher) due to patient safety concerns. This results in lower resolution MRI images and, consequently, degrades the efficacy of MRI imaging for diagnostic purposes in these patients. Cubic silicon carbide (3C-SiC) is a biocompatible wide-band-gap semiconductor with a high thermal conductivity and magnetic susceptibility compatible with brain tissue. It also has modifiable electrical conductivity through doping level control. These properties can improve the MRI compliance of 3C-SiC INIs, specifically in high field MRI scanning. In this work, the MRI compliance of epitaxial SiC films grown on various Si wafers, used to implement a monolithic neural implant (all-SiC), was studied. Via finite element method (FEM) and Fourier-based simulations, the specific absorption rate (SAR), induced heating, and image artifacts caused by the portion of the implant within a brain tissue phantom located in a 7 T small animal MRI machine were estimated and measured. The specific goal was to compare implant materials; thus, the effect of leads outside the tissue was not considered. The results of the simulations were validated via phantom experiments in the same 7 T MRI system. The simulation and experimental results revealed that free-standing 3C-SiC films had little to no image artifacts compared to silicon and platinum reference materials inside the MRI at 7 T. In addition, FEM simulations predicted an ~30% SAR reduction for 3C-SiC compared to Pt. These initial simulations and experiments indicate an all-SiC INI may effectively reduce MRI induced heating and image artifacts in high field MRI. In order to evaluate the MRI safety of a closed-loop, fully functional all-SiC INI as per ISO/TS 10974:2018 standard, additional research and development is being conducted and will be reported at a later date.

12.
Materials (Basel) ; 14(16)2021 Aug 06.
Artigo em Inglês | MEDLINE | ID: mdl-34442923

RESUMO

This work provides a comprehensive investigation of nitrogen and aluminum doping and its consequences for the physical properties of 3C-SiC. Free-standing 3C-SiC heteroepitaxial layers, intentionally doped with nitrogen or aluminum, were grown on Si (100) substrate with different 4° off-axis in a horizontal hot-wall chemical vapor deposition (CVD) reactor. The Si substrate was melted inside the CVD chamber, followed by the growth process. Micro-Raman, photoluminescence (PL) and stacking fault evaluation through molten KOH etching were performed on different doped samples. Then, the role of the doping and of the cut angle on the quality, density and length distribution of the stacking faults was studied, in order to estimate the influence of N and Al incorporation on the morphological and optical properties of the material. In particular, for both types of doping, it was observed that as the dopant concentration increased, the average length of the stacking faults (SFs) increased and their density decreased.

13.
Materials (Basel) ; 14(3)2021 Jan 26.
Artigo em Inglês | MEDLINE | ID: mdl-33530362

RESUMO

The use of wide-band-gap solid-state neutron detectors is expanding in environments where a compact size and high radiation hardness are needed, such as spallation neutron sources and next-generation fusion machines. Silicon carbide is a very promising material for use as a neutron detector in these fields because of its high resistance to radiation, fast response time, stability and good energy resolution. In this paper, measurements were performed with neutrons from the ISIS spallation source with two different silicon carbide detectors together with stability measurements performed in a laboratory under alpha-particle irradiation for one week. Some consideration to the impact of the casing of the detector on the detector's counting rate is given. In addition, the detector response to Deuterium-Deuterium (D-D) fusion neutrons is described by comparing neutron measurements at the Frascati Neutron Generator with a GEANT4 simulation. The good stability measurements and the assessment of the detector response function indicate that such a detector can be used as both a neutron counter and spectrometer for 2-4 MeV neutrons. Furthermore, the absence of polarization effects during neutron and alpha irradiation makes silicon carbide an interesting alternative to diamond detectors for fast neutron detection.

14.
Materials (Basel) ; 14(19)2021 Oct 05.
Artigo em Inglês | MEDLINE | ID: mdl-34640228

RESUMO

Wide bandgap (WBG) semiconductors are becoming more widely accepted for use in power electronics due to their superior electrical energy efficiencies and improved power densities. Although WBG cubic silicon carbide (3C-SiC) displays a modest bandgap compared to its commercial counterparts (4H-silicon carbide and gallium nitride), this material has excellent attributes as the WBG semiconductor of choice for low-resistance, reliable diode and MOS devices. At present the material remains firmly in the research domain due to numerous technological impediments that hamper its widespread adoption. The most obvious obstacle is defect-free 3C-SiC; presently, 3C-SiC bulk and heteroepitaxial (on-silicon) display high defect densities such as stacking faults and antiphase boundaries. Moreover, heteroepitaxy 3C-SiC-on-silicon means low temperature processing budgets are imposed upon the system (max. temperature limited to ~1400 °C) limiting selective doping realisation. This paper will give a brief overview of some of the scientific aspects associated with 3C-SiC processing technology in addition to focussing on the latest state of the art results. A particular focus will be placed upon key process steps such as Schottky and ohmic contacts, ion implantation and MOS processing including reliability. Finally, the paper will discuss some device prototypes (diodes and MOSFET) and draw conclusions around the prospects for 3C-SiC devices based upon the processing technology presented.

15.
Materials (Basel) ; 14(18)2021 Sep 16.
Artigo em Inglês | MEDLINE | ID: mdl-34576572

RESUMO

In this review paper, several new approaches about the 3C-SiC growth are been presented. In fact, despite the long research activity on 3C-SiC, no devices with good electrical characteristics have been obtained due to the high defect density and high level of stress. To overcome these problems, two different approaches have been used in the last years. From one side, several compliance substrates have been used to try to reduce both the defects and stress, while from another side, the first bulk growth has been performed to try to improve the quality of this material with respect to the heteroepitaxial one. From all these studies, a new understanding of the material defects has been obtained, as well as regarding all the interactions between defects and several growth parameters. This new knowledge will be the basis to solve the main issue of the 3C-SiC growth and reach the goal to obtain a material with low defects and low stress that would allow for realizing devices with extremely interesting characteristics.

16.
Materials (Basel) ; 13(8)2020 Apr 13.
Artigo em Inglês | MEDLINE | ID: mdl-32295087

RESUMO

We report a comprehensive investigation on stacking faults (SFs) in the 3C-SiC cross-section epilayer. 3C-SiC growth was performed in a horizontal hot-wall chemical vapour deposition (CVD) reactor. After the growth (85 microns thick), the silicon substrate was completely melted inside the CVD chamber, obtaining free-standing 4 inch wafers. A structural characterization and distribution of SFs was performed by µ-Raman spectroscopy and room-temperature µ-photoluminescence. Two kinds of SFs, 4H-like and 6H-like, were identified near the removed silicon interface. Each kind of SFs shows a characteristic photoluminescence emission of the 4H-SiC and 6H-SiC located at 393 and 425 nm, respectively. 4H-like and 6H-like SFs show different distribution along film thickness. The reported results were discussed in relation with the experimental data and theoretical models present in the literature.

17.
Materials (Basel) ; 12(13)2019 Jul 06.
Artigo em Inglês | MEDLINE | ID: mdl-31284618

RESUMO

We report on the reproducible growth of two inch 3C-SiC crystals using the transfer of chemical vapor deposition (CVD)-grown (100) oriented epitaxial layers. Additional experiments, in which the diameter of the free-standing layers is increased, are presented, indicating the upscale potential of this process. The nucleation and growth of cubic silicon carbide is supported by XRD and Raman measurements. The rocking curve data yield a full-width-at-half-maximum (FWHM) between 138 to 140 arc sec for such grown material. Analysis of the inbuilt stress of the bulk-like material shows no indications of any residual stress.

18.
Materials (Basel) ; 12(15)2019 Jul 24.
Artigo em Inglês | MEDLINE | ID: mdl-31344899

RESUMO

The growth of 3C-SiC shows technological challenges, such as high supersaturation, a silicon-rich gas phase and a high vertical temperature gradient. We have developed a transfer method creating high-quality 3C-SiC-on-SiC (100) seeding stacks, suitable for use in sublimation "sandwich" epitaxy (SE). This work presents simulation data on the change of supersaturation and the temperature gradient between source and seed for the bulk growth. A series of growth runs on increased source to seed distances was characterized by XRD and Raman spectroscopy. Results show a decrease in quality in terms of single-crystallinity with a decrease in supersaturation. Morphology analysis of as-grown material indicates an increasing protrusion dimension with increasing thickness. This effect limits the achievable maximal thickness. Additional polytype inclusions were observed, which began to occur with low supersaturation (S ≤ 0.06) and prolonged growth (increase of carbon gas-species).

19.
Materials (Basel) ; 12(20)2019 Oct 10.
Artigo em Inglês | MEDLINE | ID: mdl-31658766

RESUMO

In this work, results related to the temperature influence on the homo-epitaxial growth process of 3C-SiC is presented. The seed for the epitaxial layer was obtained by an innovative technique based on silicon melting: after the first step of the hetero-epitaxial growth process of 3C-SiC on a Si substrate, Si melts, and the remaining freestanding SiC layer was used as a seed layer for the homo-epitaxial growth. Different morphological analyses indicate that the growth temperature and the growth rate play a fundamental role in the stacking faults density. In details, X-ray diffraction and micro-Raman analysis show the strict relationship between growth temperature, crystal quality, and doping incorporation in the homo-epitaxial chemical vapor deposition CVD growth process of a 3C-SiC wafer. Furthermore, photoluminescence spectra show a considerable reduction of point defects during homo-epitaxy at high temperatures.

20.
Materials (Basel) ; 12(20)2019 Oct 15.
Artigo em Inglês | MEDLINE | ID: mdl-31618862

RESUMO

This work describes the development of a new method for ion implantation induced crystal damage recovery using multiple XeCl (308 nm) laser pulses with a duration of 30 ns. Experimental activity was carried on single phosphorus (P) as well as double phosphorus and aluminum (Al) implanted 4H-SiC epitaxial layers. Samples were then characterized through micro-Raman spectroscopy, Photoluminescence (PL) and Transmission Electron Microscopy (TEM) and results were compared with those coming from P implanted thermally annealed samples at 1650-1700-1750 °C for 1 h as well as P and Al implanted samples annealed at 1650 °C for 30 min. The activity outcome shows that laser annealing allows to achieve full crystal recovery in the energy density range between 0.50 and 0.60 J/cm2. Moreover, laser treated crystal shows an almost stress-free lattice with respect to thermally annealed samples that are characterized by high point and extended defects concentration. Laser annealing process, instead, allows to strongly reduce carbon vacancy (VC) concentration in the implanted area and to avoid intra-bandgap carrier recombination centres. Implanted area was almost preserved, except for some surface oxidation processes due to oxygen leakage inside the testing chamber. However, the results of this experimental activity gives way to laser annealing process viability for damage recovery and dopant activation inside the implanted area.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA