Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 15 de 15
Filtrar
Más filtros










Base de datos
Asunto principal
Intervalo de año de publicación
1.
Langmuir ; 40(10): 5162-5173, 2024 Mar 12.
Artículo en Inglés | MEDLINE | ID: mdl-38408752

RESUMEN

In inkjet printing technology, one important factor influencing the printing quality and reliability of printed films is the interaction of the jetted ink with the substrate surface. This short-range interaction determines the wettability and the adhesion of the ink to the solid surface and is hence responsible for the final shape of the deposited ink. Here, we investigate wetting morphologies of inkjet-printed inks on patterned substrates by carefully designed experimental test structures and simulations. The contact angles, the surface properties, and drop shapes, as well as their influence on the device variability, are experimentally and theoretically analyzed. For the simulations, we employ the phase-field method, which is based on the free energy minimization of the two-phase system with the given wetting boundary conditions. Through a systematic investigation of printed drops on patterned substrates consisting of hydrophilic and hydrophobic areas, we report that the printed morphology is related not only to the designed layout and the drop volume but also to the printing strategy and the wettability. Furthermore, we show how one can modify the intrinsic wettability of the patterned substrates to enhance the printing quality and reliability. Based on the present findings, we cast light on the improvement of the fabrication quality of thin film transistors.

2.
Angew Chem Int Ed Engl ; 63(7): e202315371, 2024 Feb 12.
Artículo en Inglés | MEDLINE | ID: mdl-38014650

RESUMEN

The high-entropy approach is applied to monoclinic Prussian White (PW) Na-ion cathodes to address the issue of unfavorable multilevel phase transitions upon electrochemical cycling, leading to poor stability and capacity decay. A series of Mn-based samples with up to six metal species sharing the N-coordinated positions was synthesized. The material of composition Na1.65 Mn0.4 Fe0.12 Ni0.12 Cu0.12 Co0.12 Cd0.12 [Fe(CN)6 ]0.92 □0.08 ⋅ 1.09H2 O was found to exhibit superior cyclability over medium/low-entropy and conventional single-metal PWs. We also report, to our knowledge for the first time, that a high-symmetry crystal structure may be advantageous for high-entropy PWs during battery operation. Computational comparisons of the formation enthalpy demonstrate that the compositionally less complex materials are prone to phase transitions, which negatively affect cycling performance. Based on data from complementary characterization techniques, an intrinsic mechanism for the stability improvement of the disordered PW structure upon Na+ insertion/extraction is proposed, namely the dual effect of suppression of phase transitions and mitigation of gas evolution.

3.
Adv Mater ; 35(21): e2212189, 2023 May.
Artículo en Inglés | MEDLINE | ID: mdl-36872845

RESUMEN

Highly sensitive microfiber strain sensors are promising for the detection of mechanical deformations in applications where limited space is available. In particular for in situ battery thickness monitoring where high resolution and low detection limit are key requirements. Herein, the realization of a highly sensitive strain sensor for in situ lithium-ion (Li-ion) battery thickness monitoring is presented. The compliant fiber-shaped sensor is fabricated by an upscalable wet-spinning method employing a composite of microspherical core-shell conductive particles embedded in an elastomer. The electrical resistance of the sensor changes under applied strain, exhibiting a high strain sensitivity and extremely low strain detection limit of 0.00005 with high durability of 10 000 cycles. To demonstrate the accuracy and ease of applicability of this sensor, the real-time thickness change of a Li-ion battery pouch cell is monitored during the charge and discharge cycles. This work introduces a promising approach with the least material complexity for soft microfiber strain gauges.

4.
Nat Commun ; 14(1): 1103, 2023 Feb 27.
Artículo en Inglés | MEDLINE | ID: mdl-36843156

RESUMEN

Printed organic and inorganic electronics continue to be of large interest for sensors, bioelectronics, and security applications. Many printing techniques have been investigated, albeit often with typical minimum feature sizes in the tens of micrometer range and requiring post-processing procedures at elevated temperatures to enhance the performance of functional materials. Herein, we introduce laser printing with three different inks, for the semiconductor ZnO and the metals Pt and Ag, as a facile process for fabricating printed functional electronic devices with minimum feature sizes below 1 µm. The ZnO printing is based on laser-induced hydrothermal synthesis. Importantly, no sintering of any sort needs to be performed after laser printing for any of the three materials. To demonstrate the versatility of our approach, we show functional diodes, memristors, and a physically unclonable function based on a 6 × 6 memristor crossbar architecture. In addition, we realize functional transistors by combining laser printing and inkjet printing.

6.
Small ; 18(42): e2202987, 2022 Oct.
Artículo en Inglés | MEDLINE | ID: mdl-36073667

RESUMEN

Liquid metals (LMs) play a growing role in flexible electronics and connected applications. Here, LMs come into direct contact with metal electrodes thus allowing for corrosion and additional alloying, potentially compromising device stability. Nevertheless, comprehensive studies on the interfacial interaction of the materials are still sparse. Therefore, a correlated material interaction study of capillary-printed Galinstan (eutetic alloy of Ga/In/Sn) with gold surfaces and electrodes is conducted. Comprehensive application of optical microscopy, vertical scanning interferometry, scanning electron microscopy/spectroscopy, x-ray photon spectroscopy, and atomic force microscopy allow for an in depth characterization of the spreading process of LM lines on gold films, revealing the differential spread of the different LM components and the formation of intermetallic nanostructures on the surface of the surrounding gold film. A model for the growth process based on the penetration of LM along the gold film grain boundaries is proposed based on the obtained time-dependent characterization. The distribution of gold, Galinstan, and intermetallic phases in a gold wire dipped into LM is observed using X-ray nano tomography as a complementary view on the internal nanostructure. Finally, resistance measurements on LM lines connecting gold electrodes over time allow to estimate the influence on the material interaction on electronic applications.

7.
Sensors (Basel) ; 22(11)2022 May 25.
Artículo en Inglés | MEDLINE | ID: mdl-35684621

RESUMEN

Printed electronic devices have demonstrated their applicability in complex electronic circuits. There is recent progress in the realization of neuromorphic computing systems (NCSs) to implement basic synaptic functions using solution-processed materials. However, a fully printed neuron is yet to be realised. We demonstrate a fully printed artificial neuromorphic circuit on flexible polyimide (PI) substrate. Characteristic features of individual components of the printed system were guided by the software training of the NCS. The printing process employs graphene ink for passive structures and In2O3 as active material to print a two-input artificial neuron on PI. To ensure a small area footprint, the thickness of graphene film is tuned to target a resistance and to obtain conductors or resistors. The sheet resistance of the graphene film annealed at 300 °C can be adjusted between 200 Ω and 500 kΩ depending on the number of printed layers. The fully printed devices withstand a minimum of 2% tensile strain for at least 200 cycles of applied stress without any crack formation. The area usage of the printed two-input neuron is 16.25 mm2, with a power consumption of 37.7 mW, a propagation delay of 1 s, and a voltage supply of 2 V, which renders the device a promising candidate for future applications in smart wearable sensors.


Asunto(s)
Grafito , Electrónica , Neuronas
8.
Micromachines (Basel) ; 13(4)2022 Apr 08.
Artículo en Inglés | MEDLINE | ID: mdl-35457888

RESUMEN

Printing technology and mounting technology enable the novel field of hybrid printed electronics. To establish a hybrid printed system, one challenge is that the applied mounting process meets the requirements of functional inks and substrates. One of the most common requirements is low process temperature. Many functional inks and substrates cannot withstand the high temperatures required by traditional mounting processes. In this work, a standardized interconnection and an automated bump-less flip-chip mounting process using a room temperature curing conductive adhesive are realised. With the proposed process, the conductive adhesive selected for the standardized interconnection can be dispensed uniformly, despite its increase of viscosity already during pot time. Electrical and mechanical performance of the interconnection are characterized by four terminal resistance measurement and shear test. The herein proposed automated process allows for fabrication of hybrid printed devices in larger batch sizes than manual assembly processes used beforehand and thus, more comprehensive evaluation of device parameters. This is successfully demonstrated in a first application, a novel hybrid printed security device. The room temperature mounting process eliminates any potentially damaging thermal influence on the performance of the printed circuits that might result from other assembly techniques like soldering.

9.
Sci Rep ; 11(1): 9554, 2021 May 05.
Artículo en Inglés | MEDLINE | ID: mdl-33953238

RESUMEN

Emerging applications in soft robotics, wearables, smart consumer products or IoT-devices benefit from soft materials, flexible substrates in conjunction with electronic functionality. Due to high production costs and conformity restrictions, rigid silicon technologies do not meet application requirements in these new domains. However, whenever signal processing becomes too comprehensive, silicon technology must be used for the high-performance computing unit. At the same time, designing everything in flexible or printed electronics using conventional digital logic is not feasible yet due to the limitations of printed technologies in terms of performance, power and integration density. We propose to rather use the strengths of neuromorphic computing architectures consisting in their homogeneous topologies, few building blocks and analog signal processing to be mapped to an inkjet-printed hardware architecture. It has remained a challenge to demonstrate non-linear elements besides weighted aggregation. We demonstrate in this work printed hardware building blocks such as inverter-based comprehensive weight representation and resistive crossbars as well as printed transistor-based activation functions. In addition, we present a learning algorithm developed to train the proposed printed NCS architecture based on specific requirements and constraints of the technology.

10.
ACS Appl Mater Interfaces ; 13(18): 21661-21668, 2021 May 12.
Artículo en Inglés | MEDLINE | ID: mdl-33905239

RESUMEN

Conductive polymers have been intensively investigated as materials for electrodes in flexible electronics due to their favorable biocompatibility and reliable electrochemical stability. Nevertheless, patterning of conductive polymers for the fabrication of devices and in various electronics applications confronts multifarious limitations and challenges. Here, we present a simple but efficient strategy to obtain conductive polymer microelectrodes via utilization of surface-tension-confined liquid patterns. This method shows universality for various oxidizers and conductive polymers, high resolution, stability, and favorable compatibility with different surfaces and materials. The developed method has been demonstrated for creating conductive polymer microelectrodes with a customized reaction process, defined geometry, and flexible substrates. The obtained microelectrodes were assembled into flexible capacitive sensors. Thus, the method realizes a facile approach to conductive polymer microelectrodes for flexible electronics, biomedical applications, human activity monitors, and electronic skin.

11.
Nat Commun ; 11(1): 5543, 2020 Nov 02.
Artículo en Inglés | MEDLINE | ID: mdl-33139711

RESUMEN

Modern society is striving for digital connectivity that demands information security. As an emerging technology, printed electronics is a key enabler for novel device types with free form factors, customizability, and the potential for large-area fabrication while being seamlessly integrated into our everyday environment. At present, information security is mainly based on software algorithms that use pseudo random numbers. In this regard, hardware-intrinsic security primitives, such as physical unclonable functions, are very promising to provide inherent security features comparable to biometrical data. Device-specific, random intrinsic variations are exploited to generate unique secure identifiers. Here, we introduce a hybrid physical unclonable function, combining silicon and printed electronics technologies, based on metal oxide thin film devices. Our system exploits the inherent randomness of printed materials due to surface roughness, film morphology and the resulting electrical characteristics. The security primitive provides high intrinsic variation, is non-volatile, scalable and exhibits nearly ideal uniqueness.

12.
ACS Omega ; 4(24): 20579-20585, 2019 Dec 10.
Artículo en Inglés | MEDLINE | ID: mdl-31858043

RESUMEN

Printed systems spark immense interest in industry, and for several parts such as solar cells or radio frequency identification antennas, printed products are already available on the market. This has led to intense research; however, printed field-effect transistors (FETs) and logics derived thereof still have not been sufficiently developed to be adapted by industry. Among others, one of the reasons for this is the lack of control of the threshold voltage during production. In this work, we show an approach to adjust the threshold voltage (V th) in printed electrolyte-gated FETs (EGFETs) with high accuracy by doping indium-oxide semiconducting channels with chromium. Despite high doping concentrations achieved by a wet chemical process during precursor ink preparation, good on/off-ratios of more than five orders of magnitude could be demonstrated. The synthesis process is simple, inexpensive, and easily scalable and leads to depletion-mode EGFETs, which are fully functional at operation potentials below 2 V and allows us to increase V th by approximately 0.5 V.

13.
Adv Mater ; 31(26): e1806483, 2019 Jun.
Artículo en Inglés | MEDLINE | ID: mdl-30891821

RESUMEN

Printed electrolyte-gated oxide electronics is an emerging electronic technology in the low voltage regime (≤1 V). Whereas in the past mainly dielectrics have been used for gating the transistors, many recent approaches employ the advantages of solution processable, solid polymer electrolytes, or ion gels that provide high gate capacitances produced by a Helmholtz double layer, allowing for low-voltage operation. Herein, with special focus on work performed at KIT recent advances in building electronic circuits based on indium oxide, n-type electrolyte-gated field-effect transistors (EGFETs) are reviewed. When integrated into ring oscillator circuits a digital performance ranging from 250 Hz at 1 V up to 1 kHz is achieved. Sequential circuits such as memory cells are also demonstrated. More complex circuits are feasible but remain challenging also because of the high variability of the printed devices. However, the device inherent variability can be even exploited in security circuits such as physically unclonable functions (PUFs), which output a reliable and unique, device specific, digital response signal. As an overall advantage of the technology all the presented circuits can operate at very low supply voltages (0.6 V), which is crucial for low-power printed electronics applications.

14.
Sensors (Basel) ; 18(5)2018 May 07.
Artículo en Inglés | MEDLINE | ID: mdl-29735939

RESUMEN

Battery-less passive sensor tags based on RFID or NFC technology have achieved much popularity in recent times. Passive tags are widely used for various applications like inventory control or in biotelemetry. In this paper, we present a new RFID/NFC frontend IC (integrated circuit) for 13.56 MHz passive tag applications. The design of the frontend IC is compatible with the standard ISO 15693/NFC 5. The paper discusses the analog design part in details with a brief overview of the digital interface and some of the critical measured parameters. A novel approach is adopted for the demodulator design, to demodulate the 10% ASK (amplitude shift keying) signal. The demodulator circuit consists of a comparator designed with a preset offset voltage. The comparator circuit design is discussed in detail. The power consumption of the bandgap reference circuit is used as the load for the envelope detection of the ASK modulated signal. The sub-threshold operation and low-supply-voltage are used extensively in the analog design—to keep the power consumption low. The IC was fabricated using 0.18 μ m CMOS technology in a die area of 1.5 mm × 1.5 mm and an effective area of 0.7 m m 2 . The minimum supply voltage desired is 1.2 V, for which the total power consumption is 107 μ W. The analog part of the design consumes only 36 μ W, which is low in comparison to other contemporary passive tags ICs. Eventually, a passive tag is developed using the frontend IC, a microcontroller, a temperature and a pressure sensor. A smart NFC device is used to readout the sensor data from the tag employing an Android-based application software. The measurement results demonstrate the full passive operational capability. The IC is suitable for low-power and low-cost industrial or biomedical battery-less sensor applications. A figure-of-merit (FOM) is proposed in this paper which is taken as a reference for comparison with other related state-of-the-art researches.

15.
Nanotechnology ; 29(23): 235205, 2018 Jun 08.
Artículo en Inglés | MEDLINE | ID: mdl-29553481

RESUMEN

Oxide semiconductors are highly promising candidates for the most awaited, next-generation electronics, namely, printed electronics. As a fabrication route for the solution-processed/printed oxide semiconductors, photonic curing is becoming increasingly popular, as compared to the conventional thermal curing method; the former offers numerous advantages over the latter, such as low process temperatures and short exposure time and thereby, high throughput compatibility. Here, using dissimilar photonic curing concepts (UV-visible light and UV-laser), we demonstrate facile fabrication of high performance In2O3 field-effect transistors (FETs). Beside the processing related issues (temperature, time etc.), the other known limitation of oxide electronics is the lack of high performance p-type semiconductors, which can be bypassed using unipolar logics from high mobility n-type semiconductors alone. Interestingly, here we have found that our chosen distinct photonic curing methods can offer a large variation in threshold voltage, when they are fabricated from the same precursor ink. Consequently, both depletion and enhancement-mode devices have been achieved which can be used as the pull-up and pull-down transistors in unipolar inverters. The present device fabrication recipe demonstrates fast processing of low operation voltage, high performance FETs with large threshold voltage tunability.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA
...