Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 14 de 14
Filter
Add more filters










Publication year range
1.
Nanomaterials (Basel) ; 14(11)2024 Jun 05.
Article in English | MEDLINE | ID: mdl-38869607

ABSTRACT

Perfluorododecyl iodide (I-PFC12) is of interest for area-selective deposition (ASD) applications as it exhibits intriguing properties such as ultralow surface energy, the ability to modify silicon's band gap, low surface friction, and suitability for micro-contact patterning. Traditional photolithography is struggling to reach the required critical dimensions. This study investigates the potential of using I-PFC12 as a way to produce contrast between the growth area and non-growth areas of a surface subsequent to extreme ultraviolet (EUV) exposure. Once exposed to EUV, the I-PFC12 molecule should degrade with the help of the photocatalytic substrate, allowing for the subsequent selective deposition of the hard mask. The stability of a vapor-deposited I-PFC12 self-assembled monolayer (SAM) was examined when exposed to ambient light for extended periods of time by using X-ray photoelectron spectroscopy (XPS). Two substrates, SiO2 and TiO2, are investigated to ascertain the suitability of using TiO2 as a photocatalytic active substrate. Following one month of exposure to light, the atomic concentrations showed a more substantial fluorine loss of 10.2% on the TiO2 in comparison to a 6.2% loss on the SiO2 substrate. This more pronounced defluorination seen on the TiO2 is attributed to its photocatalytic nature. Interestingly, different routes to degradation were observed for each substrate. Reference samples preserved in dark conditions with no light exposure for up to three months show little degradation on the SiO2 substrate, while no change is observed on the TiO2 substrate. The results reveal that the I-PFC12 SAM is an ideal candidate for resistless EUV lithography.

2.
ACS Appl Mater Interfaces ; 15(4): 6079-6091, 2023 Feb 01.
Article in English | MEDLINE | ID: mdl-36649199

ABSTRACT

The rush for better-performing electronics, and manufacturing processes that heavily rely on "top-down" patterning techniques, is making the integration of "self-aligned" fabrication methods, such as area-selective deposition (ASD), a critical objective for continued device scaling. The fully self-aligned via (FSAV) scheme is broadly proposed as a "killer application" to determine whether ASD can shift from an R&D process to high-volume manufacturing. Nevertheless, the lack of a suitable low-κ deposition process has prevented the realization of FSAV by dielectric-on-dielectric ASD. This is primarily due to the high temperature and/or strong oxidizers employed during low-κ dielectric deposition and their unsuitability in the presence of organic masks, such as self-assembled monolayers (SAMs), used to prevent material nucleation during ASD. In this work, AlOx and Al-silicate atomic layer deposition (ALD) processes are studied to provide suitable materials for ASD-enabled FSAV. Dimethylaluminum isopropoxide and H2O are utilized to deposit the metal oxide, whereas Al-silicate is grown by adding 2,2-dimethoxy-1,6-diaza-2-silacyclooctane (DMDAcO) pulses to the AlOx ALD cycle. The selectivity of such processes is demonstrated on 50 nm Cu/SiO2 structures, using octadecanethiol-derived SAMs to inhibit material nucleation on the metal lines. Scanning and transmission electron microscopies are employed to assess the quality of the ASD processes and investigate the mechanisms behind defect generation on a nongrowth surface. X-ray photoelectron spectroscopy measurements show the high purity of the AlOx film, whereas DMDAcO-ligand incorporation into the Al-silicate matrix is observed. Planar capacitor structures are used to assess the electrical properties of both ASD films, revealing that the silicate film exhibits a relatively low κ-value (5.3 ± 0.2), with a high acceleration field factor (32.4 ± 1.4) and a dielectric breakdown voltage of 6.0 ± 0.3 V at 100 °C.

3.
J Phys Chem Lett ; 13(34): 8130-8133, 2022 Sep 01.
Article in English | MEDLINE | ID: mdl-36000826

ABSTRACT

HfO2 is investigated for its suitability to act as an oxygen and moisture barrier to prevent Cu oxidation in redistribution layers (RDLs) in 3D packaging technologies. HfO2 barriers of varying thicknesses were deposited via atomic layer deposition (ALD) on Cu surfaces and then stressed by (i) high temperature stress and (ii) humidity and thermal stress for 1000 h to ascertain the optimal thickness to prevent oxidation of the Cu. The thickness of the ALD HfO2 film was monitored by ellipsometry, while the extent of Cu oxidation was monitored by focus ion beam prepared SEM cross sections. It is found that ∼9 nm of HfO2 is sufficient to prevent Cu oxidation.

4.
ACS Appl Mater Interfaces ; 13(27): 32381-32392, 2021 Jul 14.
Article in English | MEDLINE | ID: mdl-34160190

ABSTRACT

As critical dimensions in integrated circuits continue to shrink, the lithography-based alignment of adjacent patterned layers becomes more challenging. Area-selective atomic layer deposition (ALD) allows circumventing the alignment issue by exploiting the chemical contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2, while nucleation remains unaffected on plasma-treated SiO2. The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface composition during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental analysis techniques (<1 × 1014 at/cm2), we additionally highlight the value of imaging techniques such as atomic force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.

5.
Langmuir ; 36(44): 13144-13154, 2020 Nov 10.
Article in English | MEDLINE | ID: mdl-33104359

ABSTRACT

Area-selective deposition (ASD) offers tremendous advantages when compared with conventional patterning processes, such as the possibility of achieving three-dimensional features in a bottom-up additive fashion. Recently, ASD is gaining more and more attention from IC manufacturers and equipment and material suppliers. Through combination of self-assembled monolayer (SAM) surface passivation of the nongrowth substrate area and atomic layer deposition (ALD) on the growth area, ASD selective to the growth area can be achieved. With the purpose of screening SAM precursors to provide optimal passivation performance on SiO2, various siloxane precursors with different terminal groups and alkyl chains were investigated. Additionally, the surface dependence and growth inhibition of TiN ALD on -NH2, -CF3, and -CH3 terminations is investigated. We demonstrated the methyl termination of the SAM precursor combined with a C18 alkyl chain plays an important role in broadening the ALD selectivity window by suppressing precursor adsorption. Owing to the high surface coverage, excellent thermal stability and longer carbon chain length, an optimized trimethoxy(octadecyl)silane (TMODS) film deposited from liquid phase was able to provide a selectivity higher than 0.99 up to 20 nm ALD film deposited on hydroxyl-terminated Si oxide. The approach followed in this work can allow extending the ASD process window, and it is relevant for a wide variety of applications.

6.
ACS Appl Mater Interfaces ; 12(4): 4678-4688, 2020 Jan 29.
Article in English | MEDLINE | ID: mdl-31913003

ABSTRACT

The selective deposition of materials on predefined areas on a substrate is of crucial importance for various applications, such as energy harvesting, microelectronic device fabrication, and catalysis. A representative example of area-confined deposition is the selective deposition of a metal film as the interconnect material in multilevel metallization schemes for CMOS technology. This allows the formation of multilevel structures with standard lithographical techniques while minimizing pattern misalignment and overlay and improving the uniformity of the structures across the wafer. In this work, area-selective deposition of Ru by atomic layer deposition (ALD) is investigated using alkoxy siloxane dielectric passivation layers. In this work, a comparison of several silane organic SAM precursors in terms of Ru ALD ASD performance is reported. The importance of the surface areal concentration of the passivation molecules is demonstrated. According to the in situ X-ray photoelectron spectroscopy film characterization, the ALD blocking layers derived from a (3-trimethoxysilylpropyl) diethylenetriamine (DETA) precursor have the ability to polymerize under ALD-compatible temperatures, such as 250 °C, which leads to a significant inhibition of Ru growth up to 400 ALD cycles. At the same time, the DETA layer can be selectively removed from the oxidized Cu surface by rinsing in acetic acid, which allows selective deposition of ca. 14 nm of Ru on Cu with no Ru detected on the DETA-coated surface by RBS. The approach is successfully tested on 50 nm half-pitch patterned SiO2/Cu lines.

7.
Nat Commun ; 10(1): 3729, 2019 Aug 19.
Article in English | MEDLINE | ID: mdl-31427584

ABSTRACT

The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young's modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors.

8.
Chemphyschem ; 19(18): 2295-2298, 2018 09 18.
Article in English | MEDLINE | ID: mdl-29924463

ABSTRACT

Periodic mesoporous organosilica (PMO) thin films were synthesized by evaporation-induced self-assembly of 1,2-bis(triethoxysilyl)ethane and an ionic Gemini 16-12-16 surfactant under acidic conditions. The films were characterized by Fourier-transform infrared spectroscopy, grazing-incidence small-angle X-ray scattering, ellipsometric porosimetry, impedance measurements, and nanoindentation. The ease of control of the packing parameter in Gemini surfactants makes the PMO film templated by a Gemini an exciting first step towards small pore size PMO films with engineered mesostructures.

9.
Chemphyschem ; 18(20): 2846-2849, 2017 Oct 19.
Article in English | MEDLINE | ID: mdl-28816012

ABSTRACT

The mesophase formation in spin-coated periodic mesoporous organosilica (PMO) films aged at a controlled ambient humidity is investigated by time-resolved grazing-incidence small-angle X-ray scattering (GISAXS). The investigation demonstrates the existence of a tunable steady state in PMO spin-coated films. Thus, a film deposited at a relative humidity of 20 % has a lamellar mesophase, whereas a subsequent increase to 70 % leads to a phase transformation resulting in a P63 /mmc space group. On the other hand, an increase of the surfactant to organosilica molar ratio of between 0.26 and 0.31 results in films which at 70 % humidity form a mix of 2D and 3D hexagonal phases. A further increase of the surfactant amount leads to films with a 2D hexagonal phase. Finally, the different mesophases observed as a function of the solution aging emphasize the importance of the degree of polycondensation of the organosilica oligomers.

10.
ACS Appl Mater Interfaces ; 9(36): 31031-31041, 2017 Sep 13.
Article in English | MEDLINE | ID: mdl-28820569

ABSTRACT

Integrating bottom-up area-selective building-blocks in microelectronics has a disruptive potential because of the unique capability of engineering new structures and architectures. Atomic layer deposition (ALD) is an enabling technology, yet understanding the surfaces and their modification is crucial to leverage area-selective ALD (AS-ALD) in this field. The understanding of general selectivity mechanisms and the compatibility of plasma surface modifications with existing materials and processes, both at research and production scale, will greatly facilitate AS-ALD integration in microelectronics. The use of self-assembled monolayers to inhibit the nucleation and growth of ALD films is still scarcely compatible with nanofabrication because of defectivity and downscaling limitations. Alternatively, in this Research Article, we demonstrate a straightforward H2 plasma surface modification process capable of inhibiting Ru ALD nucleation on an amorphous carbon surface while still allowing instantaneous nucleation and linear growth on Si-containing materials. Furthermore, we demonstrate how AS-ALD enables previously inaccessible routes, such as bottom-up electroless metal deposition in a dual damascene etch-damage free low-k replacement scheme. Specifically, our approach offers a general strategy for scalable ultrafine 3D nanostructures without the burden of subtractive metal patterning and high cost chemical mechanical planarization processes.

11.
Langmuir ; 30(13): 3832-44, 2014 Apr 08.
Article in English | MEDLINE | ID: mdl-24621316

ABSTRACT

Self-assembled monolayers (SAMs) from an 11-cyanoundecyltrichlorosilane (CN-SAM) precursor were deposited on porous SiCOH low-k dielectrics with three different pore radii, namely, 1.7, 0.7, and lower than 0.5 nm. The low-k dielectrics were first pretreated with either O2 or He/H2 plasma in order to generate silanol groups on the hydrophobic pristine surface. Subsequently, the SAMs were chemically grafted to the silanol groups on the low-k surface. The SAMs distribution in the low-k films depends on the pore diameter: if the pore diameter is smaller than the size of the SAMs precursors, the SAM molecules are confined to the surface, while if the pore diameter exceeds the van der Waals radius of the SAMs precursor, the SAMs molecules reach deeper in the dielectric. In the latter case, when the pore sidewalls are made hydrophilic by the plasma treatment, the chemical grafting of the SAM precursors follows the profile of the generated silanol groups. The modification depth induced by the O2 plasma is governed by the diffusion of the oxygen radicals into the pores, which makes it the preferred choice for microporous materials. On the other hand, the vacuum ultraviolet (VUV) light plays a critical role, which makes it more suitable for hydrolyzing mesoporous materials. In addition to the density of the surface -OH groups, the nanoscale concave curvature associated with the pores also affects the molecular packing density and ordering with respect to the self-assembly behavior on flat surfaces. A simple model which correlates the low-k pore structure with the plasma hydrophilization mechanism and the SAMs distribution in the pores is presented.

12.
ACS Nano ; 8(1): 885-93, 2014 Jan 28.
Article in English | MEDLINE | ID: mdl-24380402

ABSTRACT

Spectacular progress in developing advanced Si circuits with reduced size, along the track of Moore's law, has been relying on necessary developments in wet cleaning of nanopatterned Si wafers to provide contaminant free surfaces. The most efficient cleaning is achieved when complete wetting can be realized. In this work, ordered arrays of silicon nanopillars on a hitherto unexplored small scale have been used to study the wetting behavior on nanomodulated surfaces in a substantial range of surface treatments and geometrical parameters. With the use of optical reflectance measurements, the nanoscale water imbibition depths have been measured and the transition to the superhydrophobic Cassie-Baxter state has been accurately determined. For pillars of high aspect ratio (about 15), the transition occurs even when the surface is grafted with a hydrophilic functional group. We have found a striking consistent deviation between the contact angle measurements and the straightforward application of the classical wetting models. Molecular dynamics simulations show that these deviations can be attributed to the long overlooked atomic-scale surface perturbations that are introduced during the nanofabrication process. When the transition condition is approached, transient states of partial imbibition that characterize intermediate states between the Wenzel and Cassie-Baxter states are revealed in our experiments.

13.
Langmuir ; 25(12): 6977-84, 2009 Jun 16.
Article in English | MEDLINE | ID: mdl-19456181

ABSTRACT

In this study, we first proposed a method to directly measure the interaction forces between nanoparticle gels and living cells by using the atomic force microscope (AFM). This was achieved by attaching the nanoparticles to a carrier silica probe with epoxy resin and then by directly measuring the interaction force between the probe and the living cells with the AFM. We subsequently used this technique to investigate the ability of triblock copolymer nanoparticle gels to bind to living B16F10 (mouse skin melanoma) cells. We particularly studied how the copolymer composition and structure, and the introduction of chemical cross-linking affected the adhesion magnitude. We found that a gel particle was capable to bind to a living melanoma cell. The binding strength of the particle was determined by the composition of the gel particle, where a composition change appeared to affect the number and type of chemical groups on the surface of the gel that could bind to the cell. The introduction of cross-links into the gel did not decrease the adhesion ability to a cell. Instead, it was seen that the adhesion could be increased, if a cross-linker was chosen that contained chemical groups that could bind with the cell and that preferred a conformation at the surface of the particle.


Subject(s)
Melanoma, Experimental/pathology , Microscopy, Atomic Force , Animals , Cell Line, Tumor , Mice , Microscopy, Electron, Scanning
14.
Langmuir ; 23(4): 2007-14, 2007 Feb 13.
Article in English | MEDLINE | ID: mdl-17279688

ABSTRACT

Atomic force microscopy was employed to probe the mechanical properties of surface-charged polymethylmethacrylate (PMMA)-based terpolymer and composite terpolymer core-silica shell particles in air and water media. The composite particles were achieved with two different approaches: using a silane coupling agent (composite A) or attractive electrostatic interactions (composite B) between the core and the shell. Young's moduli (E) of 4.3+/-0.7, 11.1+/-1.7, and 8.4+/-1.7 GPa were measured in air for the PMMA-based terpolymer, composite A, and composite B, respectively. In water, E decreases to 1.6+/-0.2 GPa for the terpolymer; it shows a slight decrease to 8.0+/-1.2 GPa for composite A, while it decreases to 2.9+/-0.6 GPa for composite B. This trend is explained by considering a 50% swelling of the polymer in water confirmed by dynamic light scattering. Close agreement is found between the absolute values of elastic moduli determined by nanoindentation and known values for the corresponding bulk materials. The thickness of the silica coating affects the mechanical properties of composite A. In the case of composite B, because the silica shell consists of separate particles free to move in the longitudinal direction that do not individually deform when the entire composite deforms, the elastic properties of the composites are determined exclusively by the properties of the polymer core. These results provide a basis for tailoring the mechanical properties of polymer and composite particles in air and in solution, essential in the design of next-generation abrasive schemes for several technological applications.


Subject(s)
Nanostructures/chemistry , Nanostructures/ultrastructure , Polymers/chemistry , Silicon Dioxide/chemistry , Hydrogen-Ion Concentration , Microscopy, Atomic Force , Microscopy, Electron, Scanning , Models, Chemical , Solutions
SELECTION OF CITATIONS
SEARCH DETAIL
...