Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 14 de 14
Filter
Add more filters











Publication year range
1.
Chem Commun (Camb) ; 58(46): 6650-6652, 2022 Jun 08.
Article in English | MEDLINE | ID: mdl-35593222

ABSTRACT

During area-selective atomic layer deposition (ALD) based on growth inhibitors, nucleation eventually occurs as the metal precursor reacts with the surface through secondary pathways. We show that ALD of Al2O3 on functionalized SiO2 can be significantly delayed by using a lower reactivity, heteroleptic precursor at well below the saturation dose.

2.
J Phys Chem C Nanomater Interfaces ; 126(10): 4845-4853, 2022 Mar 17.
Article in English | MEDLINE | ID: mdl-35330759

ABSTRACT

Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.

3.
Langmuir ; 38(2): 652-660, 2022 Jan 18.
Article in English | MEDLINE | ID: mdl-34990131

ABSTRACT

Small-molecule inhibitors are promising for achieving area-selective atomic layer deposition (ALD) due to their excellent compatibility with industrial processes. In this work, we report on growth inhibition during ALD of Al2O3 on a SiO2 surface functionalized with small-molecule aminosilane inhibitors. The SiO2 surface was prefunctionalized with bis(dimethylamino)dimethylsilane (BDMADMS) and (N,N-dimethylamino)trimethylsilane (DMATMS) through solution and the vapor phase. ALD of Al2O3 using dimethylaluminum isopropoxide (DMAI) and H2O was performed on these functionalized SiO2 surfaces. Our in situ four-wavelength ellipsometry measurements show superior growth inhibition when using BDMADMS and DMATMS in sequence over just using BDMADMS or DMATMS. Vapor phase functionalization provided a growth delay of ∼30 ALD cycles, which was similar to solution-based functionalization. Using in situ attenuated total reflection Fourier transform infrared spectroscopy, we show that the interaction of DMAI with SiO2 surfaces leads to pronounced changes in absorbance for the Si-O-Si phonon mode without any detectable DMAI absorbed on the SiO2 surface. Detailed analysis of the infrared spectra revealed that the decrease in absorbance was likely caused by the coordination of Al in DMAI to O atoms in surface Si-O-Si bonds without the breaking the Si-O-Si bonds. Finally, we postulate that a minimal amount of DMAI remains adsorbed on surface Si-O-Si bonds even after purging, which can initiate ALD of Al2O3 on functionalized SiO2: this highlights the need for higher surface coverage for enhanced steric blocking.

4.
Langmuir ; 37(39): 11637-11645, 2021 Oct 05.
Article in English | MEDLINE | ID: mdl-34550696

ABSTRACT

To enable area-selective atomic layer deposition (AS-ALD), self-assembled monolayers (SAMs) have been used as the surface inhibitor to block a variety of ALD processes. The integrity of the SAM throughout the ALD process is critical to AS-ALD. Despite the demonstrated effectiveness of inhibition by SAMs, nucleation during ALD eventually occurs on SAM-protected surfaces, but its impact on SAM structures is still not fully understood. In this study, we chose the octadecyltrichlorosilane (ODTS) SAM as a model system to investigate the evolution of crystallinity and structure of SAMs before and after ALD. The breakdown behavior of SAMs when exposed to ZnO and Al2O3 ALD was systematically studied by combining synchrotron X-ray techniques and electron microscopy. We show that the crystallinity and structure of ODTS SAMs grown on Si substrates remain intact until a significant amount of material deposition takes place. In addition, the undesired ALD materials that grow on ODTS SAMs present contrasting morphologies: dispersed nanoparticles for ZnO while relatively continuous film for Al2O3. Lastly, substrate dependency was explored by comparing a Si substrate to single-crystal sapphire. Similar results in the evolution of SAM crystallinity and formation of ALD nuclei on top of SAM are observed in the ODTS-sapphire system. This study provides an in-depth view of the influence of ALD processes on the SAM structure and the nucleation behavior of ALD on SAM-protected surfaces.

5.
ACS Appl Mater Interfaces ; 12(37): 42226-42235, 2020 Sep 16.
Article in English | MEDLINE | ID: mdl-32805867

ABSTRACT

Monolayer and multilayer dodecanethiols (DDT) can be assembled onto a copper surface from the vapor phase depending on the initial oxidation state of the copper. The ability of the copper-bound dodecanethiolates to block atomic layer deposition (ALD) and the resulting behavior at the interfaces of Cu/SiO2 patterns during area-selective ALD (AS-ALD) are compared between mono- and multilayers. We show that multilayer DDT is ∼7 times more effective at blocking ZnO ALD from diethylzinc and water than is monolayer DDT. Conversely, monolayer DDT exhibits better performance than does multilayer DDT in blocking of Al2O3 ALD from trimethylaluminum and water. Investigation into interfacial effects at the interface between Cu and SiO2 on Cu/SiO2 patterns reveals both a gap at the SiO2 edges and a pitch size-dependent nucleation delay of ZnO ALD on SiO2 regions of multilayer DDT-coated patterns. In contrast, no impact on ZnO ALD is observed on the SiO2 regions of monolayer DDT-coated patterns. We also show that these interfacial effects depend on the ALD chemistry. Whereas an Al2O3 film grows on the TaN diffusion barrier of a DDT-treated Cu/SiO2 pattern, the ZnO film does not. These results indicate that the structure of the DDT layer and the ALD precursor chemistry both play an important role in achieving AS-ALD.

6.
Langmuir ; 34(48): 14489-14497, 2018 12 04.
Article in English | MEDLINE | ID: mdl-30375874

ABSTRACT

The reaction mechanism of propanoyl chloride (C2H5COCl) with -SiOH-terminated SiO2 films was studied using in situ surface infrared spectroscopy. We show that this surface functionalization reaction is temperature dependent. At 230 °C, C2H5COCl reacts with isolated surface -SiOH groups to form the expected ester linkage. Surprisingly, as the temperature is lowered to 70 °C, the ketone groups are transformed into the enol tautomer, but if the temperature is increased back to the starting exposure temperature of 230 °C, the ketone tautomer is not recovered, indicating that the enol form is thermally stable over a wide range of temperatures. Further, the enol form is directly formed after exposure of a SiO2 surface to C2H5COCl at 70 °C. We speculate that the enol form, which is energetically unfavorable, is stabilized because of hydrogen bonding with adjacent enol groups or through hydrogen bonding with unreacted surface -SiOH groups. The surface coverage of hydrocarbon molecules is calculated as ∼6 × 1012 cm-2, assuming each reacted -SiOH group contributes to one hydrocarbon linkage on the surface. At a substrate temperature of 70 °C, the enol form is unreactive with H2O, and H2O molecules simply physisorb on the surface. At higher temperatures, H2O converts the ketone to the enol tautomer and reacts with Si-O-Si bridges, forming more -SiOH reactive sites. The overall hydrocarbon coverage on the surface can then be further increased through cycling H2O and C2H5COCl doses.

7.
ACS Appl Mater Interfaces ; 10(37): 31784-31794, 2018 Sep 19.
Article in English | MEDLINE | ID: mdl-30179460

ABSTRACT

Thermal atomic layer etching (ALE) is an emerging technique that involves the sequential removal of monolayers of a film by alternating self-limiting reactions, some of which generate volatile products. Although traditional ALE processes rely on the use of plasma, several thermal ALE processes have recently been developed using hydrogen fluoride (HF) with precursors such as trimethylaluminum (TMA) or tin acetylacetonate. While HF is currently the most effective reagent for ALE, its potential hazards and corrosive nature have motivated searches for alternative chemicals. Herein, we investigate the feasibility of using fluoroform (CHF3) with TMA for the thermal ALE of SiO2 and Al2O3 surfaces and compare it to the established TMA/HF process. A fundamental mechanistic understanding is derived by combining in situ Fourier transform infrared spectroscopy, ex situ X-ray photoemission spectroscopy, ex situ low-energy ion scattering, and ex situ spectroscopic ellipsometry. Specifically, we determine the role of TMA, the dependence of the etch rate on precursor gas pressure, and the formation of a residual fluoride layer. Although CHF3 reacts with TMA-treated oxide surfaces, etching is hindered by the concurrent deposition of a fluorine-containing layer, which makes it unfavorable for etching. Moreover, since fluorine contamination can be deleterious to device performance and its presence in thin films is an inherent problem for established ALE processes using HF, we present a novel method to remove the residual fluorine accumulated during the ALE process by exposure to water vapor. XPS analysis herein reveals that an Al2O3 film etched using TMA/HF at 325 °C contains 25.4 at. % fluorine in the surface region. In situ exposure of this film to water vapor at 325 °C results in ∼90% removal of the fluorine. This simple approach for fluorine removal can easily be applied to ALE-treated films to mitigate contamination and retain surface stoichiometry.

8.
ACS Appl Mater Interfaces ; 10(22): 19153-19161, 2018 Jun 06.
Article in English | MEDLINE | ID: mdl-29750496

ABSTRACT

We report a novel three-step SiN x atomic layer deposition (ALD) process using Si2Cl6, CH3NH2, and N2 plasma. In a two-step process, nonhydrogenated chlorosilanes such as Si2Cl6 with N2 plasmas lead to poor-quality SiN x films that oxidize rapidly. The intermediate CH3NH2 step was therefore introduced in the ALD cycle to replace the NH3 plasma step with a N2 plasma, while using Si2Cl6 as the Si precursor. This three-step process lowers the atomic H content and improves the film conformality on high-aspect-ratio nanostructures as Si-N-Si bonds are formed during a thermal CH3NH2 step in addition to the N2 plasma step. During ALD, the reactive surface sites were monitored using in situ surface infrared spectroscopy. Our infrared spectra show that, on the post-N2 plasma-treated SiN x surface, Si2Cl6 reacts primarily with the surface -NH2 species to form surface -SiCl x ( x = 1, 2, or 3) bonds, which are the reactive sites during the CH3NH2 cycle. In the N2 plasma step, reactive -NH2 surface species are created because of the surface H available from the -CH3 groups. At 400 °C, the SiN x films have a growth per cycle of ∼0.9 Å with ∼12 atomic percent H. The films grown on high-aspect-ratio nanostructures have a conformality of ∼90%.

9.
ACS Appl Mater Interfaces ; 10(17): 15216-15225, 2018 May 02.
Article in English | MEDLINE | ID: mdl-29634908

ABSTRACT

Understanding the mechanism of SiC chemical vapor deposition (CVD) is an important step in investigating the routes toward future atomic layer deposition (ALD) of SiC. The energetics of various silicon and carbon precursors reacting with bare and H-terminated 3C-SiC (011) are analyzed using ab initio density functional theory (DFT). Bare SiC is found to be reactive to silicon and carbon precursors, while H-terminated SiC is found to be not reactive with these precursors at 0 K. Furthermore, the reaction pathways of silane plasma fragments SiH3 and SiH2 are calculated along with the energetics for the methane plasma fragments CH3 and CH2. SiH3 and SiH2 fragments follow different mechanisms toward Si growth, of which the SiH3 mechanism is found to be more thermodynamically favorable. Moreover, both of the fragments were found to show selectivity toward the Si-H bond and not C-H bond of the surface. On the basis of this, a selective Si deposition process is suggested for silicon versus carbon-doped silicon oxide surfaces.

10.
ACS Appl Mater Interfaces ; 10(15): 13158-13180, 2018 Apr 18.
Article in English | MEDLINE | ID: mdl-29554799

ABSTRACT

Oxide and nitride thin-films of Ti, Hf, and Si serve numerous applications owing to the diverse range of their material properties. It is therefore imperative to have proper control over these properties during materials processing. Ion-surface interactions during plasma processing techniques can influence the properties of a growing film. In this work, we investigated the effects of controlling ion characteristics (energy, dose) on the properties of the aforementioned materials during plasma-enhanced atomic layer deposition (PEALD) on planar and 3D substrate topographies. We used a 200 mm remote PEALD system equipped with substrate biasing to control the energy and dose of ions by varying the magnitude and duration of the applied bias, respectively, during plasma exposure. Implementing substrate biasing in these forms enhanced PEALD process capability by providing two additional parameters for tuning a wide range of material properties. Below the regimes of ion-induced degradation, enhancing ion energies with substrate biasing during PEALD increased the refractive index and mass density of TiO x and HfO x and enabled control over their crystalline properties. PEALD of these oxides with substrate biasing at 150 °C led to the formation of crystalline material at the low temperature, which would otherwise yield amorphous films for deposition without biasing. Enhanced ion energies drastically reduced the resistivity of conductive TiN x and HfN x films. Furthermore, biasing during PEALD enabled the residual stress of these materials to be altered from tensile to compressive. The properties of SiO x were slightly improved whereas those of SiN x were degraded as a function of substrate biasing. PEALD on 3D trench nanostructures with biasing induced differing film properties at different regions of the 3D substrate. On the basis of the results presented herein, prospects afforded by the implementation of this technique during PEALD, such as enabling new routes for topographically selective deposition on 3D substrates, are discussed.

11.
ACS Appl Mater Interfaces ; 9(2): 1858-1869, 2017 Jan 18.
Article in English | MEDLINE | ID: mdl-28059494

ABSTRACT

The advent of three-dimensional (3D) finFET transistors and emergence of novel memory technologies place stringent requirements on the processing of silicon nitride (SiNx) films used for a variety of applications in device manufacturing. In many cases, a low temperature (<400 °C) deposition process is desired that yields high quality SiNx films that are etch resistant and also conformal when grown on 3D substrate topographies. In this work, we developed a novel plasma-enhanced atomic layer deposition (PEALD) process for SiNx using a mono-aminosilane precursor, di(sec-butylamino)silane (DSBAS, SiH3N(sBu)2), and N2 plasma. Material properties have been analyzed over a wide stage temperature range (100-500 °C) and compared with those obtained in our previous work for SiNx deposited using a bis-aminosilane precursor, bis(tert-butylamino)silane (BTBAS, SiH2(NHtBu)2), and N2 plasma. Dense films (∼3.1 g/cm3) with low C, O, and H contents at low substrate temperatures (<400 °C) were obtained on planar substrates for this process when compared to other processes reported in the literature. The developed process was also used for depositing SiNx films on high aspect ratio (4.5:1) 3D trench nanostructures to investigate film conformality and wet-etch resistance (in dilute hydrofluoric acid, HF/H2O = 1:100) relevant for state-of-the-art device architectures. Film conformality was below the desired levels of >95% and attributed to the combined role played by nitrogen plasma soft saturation, radical species recombination, and ion directionality during SiNx deposition on 3D substrates. Yet, very low wet-etch rates (WER ≤ 2 nm/min) were observed at the top, sidewall, and bottom trench regions of the most conformal film deposited at low substrate temperature (<400 °C), which confirmed that the process is applicable for depositing high quality SiNx films on both planar and 3D substrate topographies.

12.
ACS Appl Mater Interfaces ; 7(20): 10806-13, 2015 May 27.
Article in English | MEDLINE | ID: mdl-25927250

ABSTRACT

A plasma-enhanced atomic layer deposition (ALD) process was developed for the growth of SiNx thin films using Si2Cl6 and NH3 plasma. At substrate temperatures ≤400 °C, we show that this ALD process leads to films with >95% conformality over high aspect ratio nanostructures with a growth per cycle of ∼1.2 Å. The film growth mechanism was studied using in situ attenuated total reflection Fourier transform infrared spectroscopy. Our data show that on the SiNx growth surface, Si2Cl6 reacts with surface -NH2 groups to form surface -NH species, which are incorporated into the growing film. In the subsequent half cycle, radicals generated in the NH3 plasma abstract surface Cl atoms, and restore an NHx (x = 1,2)-terminated surface. Surface Si-N-Si bonds are also primarily formed during the NH3 plasma half-cycle. The infrared data and Rutherford backscattering combined with hydrogen forward scattering shows that the films contain ∼23% H atoms primarily incorporated as -NH groups.

13.
ACS Appl Mater Interfaces ; 6(13): 10534-41, 2014 Jul 09.
Article in English | MEDLINE | ID: mdl-24915469

ABSTRACT

Atomic layer deposition (ALD) of highly conformal, silicon-based dielectric thin films has become necessary because of the continuing decrease in feature size in microelectronic devices. The ALD of oxides and nitrides is usually thought to be mechanistically similar, but plasma-enhanced ALD of silicon nitride is found to be problematic, while that of silicon oxide is straightforward. To find why, the ALD of silicon nitride and silicon oxide dielectric films was studied by applying ab initio methods to theoretical models for proposed surface reaction mechanisms. The thermodynamic energies for the elimination of functional groups from different silicon precursors reacting with simple model molecules were calculated using density functional theory (DFT), explaining the lower reactivity of precursors toward the deposition of silicon nitride relative to silicon oxide seen in experiments, but not explaining the trends between precursors. Using more realistic cluster models of amine and hydroxyl covered surfaces, the structures and energies were calculated of reaction pathways for chemisorption of different silicon precursors via functional group elimination, with more success. DFT calculations identified the initial physisorption step as crucial toward deposition and this step was thus used to predict the ALD reactivity of a range of amino-silane precursors, yielding good agreement with experiment. The retention of hydrogen within silicon nitride films but not in silicon oxide observed in FTIR spectra was accounted for by the theoretical calculations and helped verify the application of the model.

14.
Science ; 298(5592): 402-6, 2002 Oct 11.
Article in English | MEDLINE | ID: mdl-12376699

ABSTRACT

Highly uniform and conformal coatings can be made by the alternating exposures of a surface to vapors of two reactants, in a process commonly called atomic layer deposition (ALD). The application of ALD has, however, been limited because of slow deposition rates, with a theoretical maximum of one monolayer per cycle. We show that alternating exposure of a surface to vapors of trimethylaluminum and tris(tert-butoxy)silanol deposits highly conformal layers of amorphous silicon dioxide and aluminum oxide nanolaminates at rates of 12 nanometers (more than 32 monolayers) per cycle. This process allows for the uniform lining or filling of long, narrow holes. We propose that these ALD layers grow by a previously unknown catalytic mechanism that also operates during the rapid ALD of many other metal silicates. This process should allow improved production of many devices, such as trench insulation between transistors in microelectronics, planar waveguides, microelectromechanical structures, multilayer optical filters, and protective layers against diffusion, oxidation, or corrosion.

SELECTION OF CITATIONS
SEARCH DETAIL