Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 14 de 14
Filtrar
Más filtros










Base de datos
Intervalo de año de publicación
1.
ACS Appl Mater Interfaces ; 12(20): 23399-23409, 2020 May 20.
Artículo en Inglés | MEDLINE | ID: mdl-32345022

RESUMEN

Polarity-switching photopatternable guidelines can be directly used to both orient and direct the self-assembly of block copolymers. We report the orientation and alignment of poly(styrene-block-4-trimethylsilylstyrene) (PS-b-PTMSS) with a domain periodicity, L0, of 44 nm on thin photopatternable grafting surface treatments (pGSTs) and cross-linkable surface treatments (pXSTs), containing acid-labile 4-tert-butoxystyrene monomer units. The surface treatment was exposed using electron beam lithography to create well-defined linear arrays of neutral and preferential regions. Directed self-assembly (DSA) of PS-b-PTMSS with much lower defectivity was observed on pXST than on pGST guidelines. The study of the effect of film thickness on photoacid diffusion by Fourier transform infrared spectroscopy and near-edge X-ray absorption fine structure spectroscopy suggested slower diffusion in thinner films, potentially enabling production of guidelines with sharper interfaces between the unexposed and exposed lines, and thus, the DSA of PS-b-PTMSS on thinner pXST guidelines resulted in better alignment control.

2.
ACS Appl Mater Interfaces ; 8(43): 29808-29817, 2016 Nov 02.
Artículo en Inglés | MEDLINE | ID: mdl-27700028

RESUMEN

Orientation control of thin film nanostructures derived from block copolymers (BCPs) are of great interest for various emerging technologies like separation membranes, nanopatterning, and energy storage. While many BCP compositions have been developed for these applications, perpendicular orientation of these BCP domains is still very challenging to achieve. Herein we report on a new, integration-friendly approach in which small amounts of a phase-preferential, surface active polymer (SAP) was used as an additive to a polycarbonate-containing BCP formulation to obtain perpendicularly oriented domains with 19 nm natural periodicity upon thermal annealing. In this work, the vertically oriented BCP domains were used to demonstrate next generation patterning applications for advanced semiconductor nodes. Furthermore, these domains were used to demonstrate pattern transfer into a hardmask layer via commonly used etch techniques and graphoepitaxy-based directed self-assembly using existing lithographic integration schemes. We believe that this novel formulation-based approach can easily be extended to other applications beyond nanopatterning.

3.
ACS Appl Mater Interfaces ; 7(5): 3323-8, 2015 Feb 11.
Artículo en Inglés | MEDLINE | ID: mdl-25594107

RESUMEN

The directed self-assembly (DSA) of lamella-forming poly(styrene-block-trimethylsilylstyrene) (PS-PTMSS, L0=22 nm) was achieved using a combination of tailored top interfaces and lithographically defined patterned substrates. Chemo- and grapho-epitaxy, using hydrogen silsesquioxane (HSQ) based prepatterns, achieved density multiplications up to 6× and trench space subdivisions up to 7×, respectively. These results establish the compatibility of DSA techniques with a high etch contrast, Si-containing BCP that requires a top coat neutral layer to enable orientation.

4.
Nat Commun ; 5: 5805, 2014 Dec 16.
Artículo en Inglés | MEDLINE | ID: mdl-25512171

RESUMEN

Block copolymer directed self-assembly is an attractive method to fabricate highly uniform nanoscale features for various technological applications, but the dense periodicity of block copolymer features limits the complexity of the resulting patterns and their potential utility. Therefore, customizability of nanoscale patterns has been a long-standing goal for using directed self-assembly in device fabrication. Here we show that a hybrid organic/inorganic chemical pattern serves as a guiding pattern for self-assembly as well as a self-aligned mask for pattern customization through cotransfer of aligned block copolymer features and an inorganic prepattern. As informed by a phenomenological model, deliberate process engineering is implemented to maintain global alignment of block copolymer features over arbitrarily shaped, 'masking' features incorporated into the chemical patterns. These hybrid chemical patterns with embedded customization information enable deterministic, complex two-dimensional nanoscale pattern customization through directed self-assembly.

5.
ACS Nano ; 8(8): 8426-37, 2014 Aug 26.
Artículo en Inglés | MEDLINE | ID: mdl-25075449

RESUMEN

The directed self-assembly (DSA) of block copolymers (BCP) is an emerging resolution enhancement tool that can multiply or subdivide the pitch of a lithographically defined chemical or topological pattern and is a resolution enhancement candidate to augment conventional lithography for patterning sub-20 nm features. Continuing the development of this technology will require an improved understanding of the polymer physics involved as well as experimental confirmation of the simulations used to guide the design process. Both of these endeavors would be greatly facilitated by a metrology, which is capable of probing the internal morphology of a DSA film. We have developed a new measurement technique, resonant critical-dimension small-angle X-ray scattering (res-CDSAXS), to evaluate the 3D buried features inside the film. This is an X-ray scattering measurement where the sample angle is varied to probe the 3D structure of the film, while resonant soft X-rays are used to enhance the scattering contrast. By measuring the same sample with both res-CDSAXS and traditional CDSAXS (with hard X-rays), we are able to demonstrate the dramatic improvement in scattering obtained through the use of resonant soft X-rays. Analysis of the reciprocal space map constructed from the res-CDSAXS measurements allowed us to reconstruct the complex buried features in DSA BCP films. We studied a series of DSA BCP films with varying template widths, and the internal morphologies for these samples were compared to the results of single chain in mean-field simulations. The measurements revealed a range of morphologies that occur with changing template width, including results that suggest the presence of mixed morphologies composed of both whole and necking lamella. The development of res-CDSAXS will enable a better understanding of the fundamental physics behind the formation of buried features in DSA BCP films.

6.
Adv Mater ; 26(25): 4386-96, 2014 Jul 02.
Artículo en Inglés | MEDLINE | ID: mdl-24706521

RESUMEN

Thin films of block copolymers are widely seen as enablers for nanoscale fabrication of semiconductor devices, membranes, and other structures, taking advantage of microphase separation to produce well-organized nanostructures with periods of a few nm and above. However, the inherently three-dimensional structure of block copolymer microdomains could enable them to make 3D devices and structures directly, which could lead to efficient fabrication of complex heterogeneous structures. This article reviews recent progress in developing 3D nanofabrication processes based on block copolymers.

7.
ACS Nano ; 8(5): 5227-32, 2014 May 27.
Artículo en Inglés | MEDLINE | ID: mdl-24670216

RESUMEN

Directed self-assembly (DSA) of lamellar phase block-co-polymers (BCPs) can be used to form nanoscale line-space patterns. However, exploiting the potential of this process for circuit relevant patterning continues to be a major challenge. In this work, we propose a way to impart two-dimensional pattern information in graphoepitaxy-based lamellar phase DSA processes by utilizing the interactions of the BCP with the template pattern. The image formation mechanism is explained through the use of Monte Carlo simulations. Circuit patterns consisting of the active region of Si FinFET transistors, referred to as Si "fins", were fabricated to demonstrate the applicability of this technique to the formation of complex patterns. The quality of the Si fin features produced by this process was validated by demonstrating the first functional DSA-patterned FinFET devices with 29 nm-pitch fins.

8.
Langmuir ; 29(24): 7472-7, 2013 Jun 18.
Artículo en Inglés | MEDLINE | ID: mdl-23368716

RESUMEN

Magnetic nanoparticles (MNPs) provide a set of building blocks for constructing stimuli-responsive nanoscale materials with properties that are unique to this scale. The size and the composition of MNPs are tunable to meet the requirements for a range of applications including biosensors and data storage. Although many of these technologies would significantly benefit from the organization of nanoparticles into higher-order architectures, the precise placement and arrangement of nanoparticles over large areas of a surface remain a challenge. Herein, we demonstrate the viability of magnetic nanoparticles for patterned recording media utilizing a template-directed self-assembly process to afford well-defined nanostructures of magnetic nanoparticles and access these assemblies using magnetic force microscopy and a magnetic recording head. Photolithographically defined holes were utilized as templates to form assemblies of ferrimagnetic nanoparticle rings or pillars selectively over a large area (>1 cm(2)) in just 30 s. This approach is applicable to other nanoparticle systems as well and enables their high-throughput self-assembly for future advanced device fabrication.

9.
ACS Nano ; 7(1): 276-85, 2013 Jan 22.
Artículo en Inglés | MEDLINE | ID: mdl-23199006

RESUMEN

The realization of viable designs for circuit patterns using the dense features formed by block copolymer directed self-assembly (DSA) will require a precise and quantitative understanding of self-assembled feature registration to guiding templates or chemical prepatterns. Here we report measurements of DSA placement error for lamellar block copolymer domains indexed to specific lines in the surface chemical prepattern for spatial frequency tripling and quadrupling. These measurements are made possible by the use of an inorganic domain-selective prepattern material that may be imaged upon polymer removal after DSA and a prepattern design incorporating a single feature serving as an in situ registration mark that is identifiable by pattern symmetry in both the prepattern and resulting self-assembled pattern. The results indicate that DSA placement error is correlated with average prepattern line width as well as prepattern pitch uniformity. Finally, the magnitude of DSA placement error anticipated for a uniform, optimized prepattern is estimated.


Asunto(s)
Cristalización/métodos , Imagen Molecular/métodos , Nanoestructuras/química , Nanoestructuras/ultraestructura , Polímeros/química , Titanio/química , Ensayo de Materiales , Tamaño de la Partícula
10.
ACS Nano ; 4(8): 4815-23, 2010 Aug 24.
Artículo en Inglés | MEDLINE | ID: mdl-20731456

RESUMEN

We report novel strategies to integrate block copolymer self-assembly with 193 nm water immersion lithography. These strategies employ commercially available positive tone chemically amplified photoresists to spatially encode directing information into precise topographical or chemical prepatterns for the directed self-assembly of block copolymers. Each of these methods exploits the advantageous solubility and thermal properties of polarity-switched positive tone photoresist materials. Precisely registered, sublithographic self-assembled structures are fabricated using these versatile integration schemes which are fully compatible with current optical lithography patterning materials, processes, and tooling.

11.
Nanotechnology ; 19(45): 455304, 2008 Nov 12.
Artículo en Inglés | MEDLINE | ID: mdl-21832769

RESUMEN

We report the formation and directed self-assembly of sub-10 nm half-pitch line patterns from lamellar microdomains of a block copolymer hybrid. The hybrid, which is a mixture of poly(styrene-b-ethylene oxide) (PS-b-PEO) and a low molecular weight organosilicate (OS), shows strong segregation between two phases (i.e. PS and PEO+OS) and forms lamellar microdomains of down to approximately 7 nm in half-pitch. Patterns applicable to multifinger device layouts are created by self-assembling the hybrid on topographic pre-patterns with a chemically non-selective surface. With careful design of the guiding topographic pattern geometry, well-controlled lateral placement including bent structures of lamellar microdomains can be obtained by this approach.

12.
Nano Lett ; 6(10): 2332-7, 2006 Oct.
Artículo en Inglés | MEDLINE | ID: mdl-17034106

RESUMEN

The self-assembly of a spherical-morphology block copolymer into V-shaped grooves has been investigated. Although spherical morphology block copolymers typically form a bcc sphere array in bulk, the V groove promotes the formation of a well-ordered fcc close-packed sphere array with the (111) planes of the array parallel to the groove walls. The sphere size in the block copolymer adjusts depending on the commensurability between the periodicity of the block copolymer and the film thickness within the V groove. The top surface of the close-packed array, parallel to the substrate, shows a square symmetry, unlike the hexagonal symmetry seen in monolayers of spherical domains, which may provide a useful geometry for block copolymer lithography.


Asunto(s)
Cristalización/métodos , Nanoestructuras/química , Nanoestructuras/ultraestructura , Nanotecnología/métodos , Fotograbar/métodos , Polímeros/química , Sustancias Macromoleculares , Ensayo de Materiales , Conformación Molecular , Tamaño de la Partícula , Propiedades de Superficie
13.
Nano Lett ; 6(9): 2099-103, 2006 Sep.
Artículo en Inglés | MEDLINE | ID: mdl-16968033

RESUMEN

A range of proposed devices relies on the electronic, optical or magnetic properties of one-dimensional (1D) chains of nanoparticles. Here, well-controlled 1D arrays have been formed by templating a spherical-morphology block copolymer within a narrow groove. Significantly, the domains are distorted into ellipses with aspect ratio and major axis orientation controlled by the groove width. This technique gives unprecedented control over the period, particle size, aspect ratio, and orientation of nanoparticles in 1D arrays, making it valuable for creating self-assembled masks for the fabrication of novel devices.


Asunto(s)
Cristalización/métodos , Modelos Químicos , Modelos Moleculares , Nanoestructuras/química , Nanoestructuras/ultraestructura , Poliestirenos/química , Silanos/química , Simulación por Computador , Sustancias Macromoleculares/química , Ensayo de Materiales , Conformación Molecular , Tamaño de la Partícula , Propiedades de Superficie
14.
Nat Mater ; 3(11): 823-8, 2004 Nov.
Artículo en Inglés | MEDLINE | ID: mdl-15467725

RESUMEN

Self-assembling materials are the building blocks for bottom-up nanofabrication processes, but many self-assembled nanostructures contain defects and lack sufficient long-range order for certain nanotechnology applications. Here we investigate the formation of defects in a self-assembled array of spherical block-copolymer microdomains, using topographical templates to control the local self-assembly. Perfect ordered sphere arrays can form in both constant-width templates and width-modulated templates. For modulated templates, transition between configurations having a constant number of rows and configurations of stable arrays with varying numbers of rows is shown to be analogous to dislocation formation in an epitaxial thin film system. Based on the configuration transition energy and fluctuation energy, designed templates with a high tolerance for lithographical imperfections can direct precisely modulated block-copolymer nanostructures. This study provides insights into the design of hybrid systems combining top-down and bottom-up fabrication.


Asunto(s)
Polímeros/química , Nanotecnología
SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA