Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 24
Filtrar
Más filtros










Base de datos
Intervalo de año de publicación
1.
J Biomed Mater Res A ; 110(2): 257-265, 2022 02.
Artículo en Inglés | MEDLINE | ID: mdl-34322978

RESUMEN

Poly(globalide) (PGl), an aliphatic polyester derived from unsaturated macrocylic lactone, can be cross-linked during electrospinning and drug-loaded for regenerative medicine applications. However, it lacks intrinsic recognition sites for cell adhesion and proliferation. In order to improve their cell adhesiveness, and therefore their therapeutic potential, we aimed to functionalize electrospun PGl fibers with RGD sequence generating a biomimetic scaffold. First, an amine compound was attached to the surface double bonds of the PGl fibers. Subsequently, the amino groups were coupled with RGD sequences. X-ray photoelectron spectroscopy (XPS) analysis confirmed the functionalization. The obtained fibers were more hydrophilic, as observed by contact angle analysis, and presented smaller Young's modulus, although similar tensile strength compared with non-functionalized cross-linked fibers. In addition, the functionalization process did not significantly alter fibers morphology, as observed by scanning electron microscopy (SEM). Finally, in vitro analysis evidenced the increase in human mesenchymal stromal cells (hMSC) adhesion (9.88 times higher DNA content after 1 day of culture) and proliferation (3.57 times higher DNA content after 8 days of culture) compared with non-functionalized non-cross-linked fibers. This is the first report demonstrating the functionalization of PGl fibers with RGD sequence, improving PGl therapeutic potential and further corroborating the use of this highly versatile material toward regenerative medicine applications.


Asunto(s)
Nanofibras , Poliésteres , Adhesión Celular , Proliferación Celular , Humanos , Nanofibras/química , Oligopéptidos , Poliésteres/química , Ingeniería de Tejidos/métodos , Andamios del Tejido/química
2.
J Colloid Interface Sci ; 609: 375-383, 2022 Mar.
Artículo en Inglés | MEDLINE | ID: mdl-34902674

RESUMEN

Producing ultrathin light absorber layers is attractive towards the integration of lightweight planar components in electronic, photonic, and sensor devices. In this work, we report the experimental demonstration of a thin gold (Au) metallic metasurface with near-perfect visible absorption (∼95 %). Au nanoresonators possessing heights from 5 - 15 nm with sub-50 nm diameters were engineered by block copolymer (BCP) templating. The Au nanoresonators were fabricated on an alumina (Al2O3) spacer layer and a reflecting Au mirror, in a film-coupled nanoparticle design. The BCP nanopatterning strategy to produce desired heights of Au nanoresonators was tailored to achieve near-perfect absorption at ≈ 600 nm. The experimental insight described in this work is a step forward towards realizing large area flat optics applications derived from subwavelength-thin metasurfaces.

3.
Polymers (Basel) ; 13(23)2021 Dec 03.
Artículo en Inglés | MEDLINE | ID: mdl-34883741

RESUMEN

We studied the kinetics of swelling in high-χ lamellar-forming poly(styrene)-block- poly(lactic acid) (PS-b-PLA) block copolymer (BCP) by varying the heating rate and monitoring the solvent vapour pressure and the substrate temperature in situ during solvo-thermal vapour annealing (STVA) in an oven, and analysing the resulting morphology. Our results demonstrate that there is not only a solvent vapour pressure threshold (120 kPa), but also that the rate of reaching this pressure threshold has a significant effect on the microphase separation and the resulting morphologies. To study the heating rate effect, identical films were annealed in a tetrahydrofuran (THF) vapour environment under three different ramp regimes, low (rT<1 °C/min), medium (24 °C/min), for 60, 90 and 120 min, respectively, while the solvent vapour pressure and the substrate temperature were measured in real time. The translational order improved significantly with increasing the heating rate. The solvent mass uptake calculated for the different ramp regimes during annealing is linearly proportional to time, indicating that the swelling kinetics followed Case II diffusion. Two stages of the swelling behaviour were observed: (i) diffusion at the initial stages of swelling and (ii) stress relaxation, controlled at later stages. Films with a faster rate of increase in vapour pressure (rP>2 kPa/min) reached the pressure threshold value at an early stage of the swelling and attained a good phase separation. According to our results, highly ordered patterns are only obtained when the volume fraction of the solvent exceeds the polymer volume fraction, i.e., (φs≥φp), during the swelling process, and below this threshold value (φs=0.5), the films did not obtain a good structural order, even at longer annealing times.

4.
Macromolecules ; 54(3): 1203-1215, 2021 Feb 09.
Artículo en Inglés | MEDLINE | ID: mdl-34276069

RESUMEN

The self-assembly of ultra-high molecular weight (UHMW) block copolymers (BCPs) remains a complex and time-consuming endeavor owing to the high kinetic penalties associated with long polymer chain entanglement. In this work, we report a unique strategy of overcoming these kinetic barriers through precision solvent annealing of an UHMW polystyrene-block-poly(2-vinylpyridine) BCP system (M w: ∼800 kg/mol) by fast swelling to very high levels of solvent concentration (ϕs). Phase separation on timescales of ∼10 min is demonstrated once a thickness-dependent threshold ϕs value of ∼0.80-0.86 is achieved, resulting in lamellar feature spacings of over 190 nm. The threshold ϕs value was found to be greater for films with higher dry thickness (D 0) values. Tunability of the domain morphology is achieved through controlled variation of both D 0 and ϕs, with the kinetically unstable hexagonal perforated lamellar (HPL) phase observed at ϕs values of ∼0.67 and D 0 values of 59-110 nm. This HPL phase can be controllably induced into an order-order transition to a lamellar morphology upon further increase of ϕs to 0.80 or above. As confirmed by grazing-incidence small-angle X-ray scattering, the lateral ordering of the lamellar domains is shown to improve with increasing ϕs up to a maximum value at which the films transition to a disordered state. Thicker films are shown to possess a higher maximum ϕs value before transitioning to a disordered state. The swelling rate is shown to moderately influence the lateral ordering of the phase-separated structures, while the amount of hold time at a particular value of ϕs does not notably enhance the phase separation process. These large period self-assembled lamellar domains are then employed to facilitate pattern transfer using a liquid-phase infiltration method, followed by plasma etching, generating ordered, high aspect ratio Si nanowall structures with spacings of ∼190 nm and heights of up to ∼500 nm. This work underpins the feasibility of a room-temperature, solvent-based annealing approach for the reliable and scalable fabrication of sub-wavelength nanostructures via BCP lithography.

5.
Molecules ; 26(5)2021 Mar 05.
Artículo en Inglés | MEDLINE | ID: mdl-33807816

RESUMEN

The ability of bottlebrush block copolymers (BBCPs) to self-assemble into ordered large periodic structures could greatly expand the scope of photonic and membrane technologies. In this paper, we describe a two-step synthesis of poly(l-lactide)-b-polystyrene (PLLA-b-PS) BBCPs and their rapid thin-film self-assembly. PLLA chains were grown from exo-5-norbornene-2-methanol via ring-opening polymerization (ROP) of l-lactide to produce norbornene-terminated PLLA. Norbonene-terminated PS was prepared using anionic polymerization followed by a termination reaction with exo-5-norbornene-2-carbonyl chloride. PLLA-b-PS BBCPs were prepared from these two norbornenyl macromonomers by a one-pot sequential ring opening metathesis polymerization (ROMP). PLLA-b-PS BBCPs thin-films exhibited cylindrical and lamellar morphologies depending on the relative block volume fractions, with domain sizes of 46-58 nm and periodicities of 70-102 nm. Additionally, nanoporous templates were produced by the selective etching of PLLA blocks from ordered structures. The findings described in this work provide further insight into the controlled synthesis of BBCPs leading to various possible morphologies for applications requiring large periodicities. Moreover, the rapid thin film patterning strategy demonstrated (>5 min) highlights the advantages of using PLLA-b-PS BBCP materials beyond their linear BCP analogues in terms of both dimensions achievable and reduced processing time.


Asunto(s)
Nanoestructuras/química , Poliestirenos/síntesis química , Rastreo Diferencial de Calorimetría , Norbornanos/química , Polimerizacion , Poliestirenos/química , Solventes/química , Espectrometría de Masa por Láser de Matriz Asistida de Ionización Desorción , Termogravimetría
6.
Langmuir ; 36(46): 13872-13880, 2020 Nov 24.
Artículo en Inglés | MEDLINE | ID: mdl-33175555

RESUMEN

Assembling ultrahigh-molecular-weight (UHMW) block copolymers (BCPs) in rapid time scales is perceived as a grand challenge in polymer science due to slow kinetics. Through surface engineering and identifying a nonvolatile solvent (propylene glycol methyl ether acetate, PGMEA), we showcase the impressive ability of a series of lamellar poly(styrene)-block-poly(2-vinylpyridine) (PS-b-P2VP) BCPs to self-assemble directly after spin-coating. In particular, we show the formation of large-period (≈111 nm) lamellar structures from a neat UHMW PS-b-P2VP BCP. The significant influence of solvent-polymer solubility parameters are explored to enhance the polymer chain mobility. After optimization using solvent vapor annealing, increased feature order of ultralarge-period PS-b-P2VP BCP patterns in 1 h is achieved. Isolated metallic and dielectric features are also demonstrated to exemplify the promise that large BCP periods offer for functional applications. The methods described in this article center on industry-compatible patterning schemes, solvents, and deposition techniques. Thus, our straightforward UHMW BCP strategy potentially paves a viable and practical path forward for large-scale integration in various sectors, e.g., photonic band gaps, polarizers, and membranes that demand ultralarge period sizes.

7.
Langmuir ; 36(41): 12394-12402, 2020 Oct 20.
Artículo en Inglés | MEDLINE | ID: mdl-33021792

RESUMEN

In this work, we show that in order to fabricate coherent titania (TiO2) films with precise thickness control, it is critical to generate a complete polymer brush monolayer. To date, demonstrations of such dense polymer monolayer formation that can be utilized for inorganic infiltration have been elusive. We describe a versatile bottom-up approach to covalently and rapidly (60 s processing) graft hydroxyl-terminated poly(2-vinyl pyridine) (P2VP-OH) polymers on silicon substrates. P2VP-OH monolayer films of varying thicknesses can subsequently be used to fabricate high-quality TiO2 films. Our innovative strategy is based upon room-temperature titanium vapor-phase infiltration of the grafted P2VP-OH polymer brushes that can produce TiO2 nanofilms of 2-4 nm thicknesses. Crucial parameters are explored, including molecular weight and solution concentration for grafting dense P2VP-OH monolayers from the liquid phase with high coverage and uniformity across wafer-scale areas (>2 cm2). Additionally, we compare the P2VP-OH polymer systems with another reactive polymer, poly(methyl methacrylate)-OH, and a relatively nonreactive polymer, poly(styrene)-OH. Furthermore, we prove the latter to be effective for surface blocking and deactivation. We show a simple process to graft monolayers for polymers that are weakly interacting with one another but more challenging for reactive systems. Our methodology provides new insight into the rapid grafting of polymer brushes and their ability to form TiO2 films. We believe that the results described herein are important for further expanding the use of reactive and unreactive polymers for fields including area-selective deposition, solar cell absorber layers, and antimicrobial surface coatings.

8.
RSC Adv ; 10(67): 41088-41097, 2020 Nov 09.
Artículo en Inglés | MEDLINE | ID: mdl-35519210

RESUMEN

In the field of functional nanomaterials, core-satellite nanoclusters have recently elicited great interest due to their unique optoelectronic properties. However, core-satellite synthetic routes to date are hampered by delicate and multistep reaction conditions and no practical method has been reported for the ordering of these structures onto a surface monolayer. Herein we show a reproducible and simplified thin film process to fabricate bimetallic raspberry nanoclusters using block copolymer (BCP) lithography. The fabricated inorganic raspberry nanoclusters consisted of a ∼36 nm alumina core decorated with ∼15 nm Au satellites after infusing multilayer BCP nanopatterns. A series of cylindrical BCPs with different molecular weights allowed us to dial in specific nanodot periodicities (from 30 to 80 nm). Highly ordered BCP nanopatterns were then selectively infiltrated with alumina and Au species to develop multi-level bimetallic raspberry features. Microscopy and X-ray reflectivity analysis were used at each fabrication step to gain further mechanistic insights and understand the infiltration process. Furthermore, grazing-incidence small-angle X-ray scattering studies of infiltrated films confirmed the excellent order and vertical orientation over wafer scale areas of Al2O3/Au raspberry nanoclusters. We believe our work demonstrates a robust strategy towards designing hybrid nanoclusters since BCP blocks can be infiltrated with various low cost salt-based precursors. The highly controlled nanocluster strategy disclosed here could have wide ranging uses, in particular for metasurface and optical based sensor applications.

9.
Nanomaterials (Basel) ; 8(1)2018 Jan 09.
Artículo en Inglés | MEDLINE | ID: mdl-29315245

RESUMEN

The self-assembly of a lamellar-forming polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) diblock copolymer (DBCP) was studied herein for surface nanopatterning. The DBCP was synthesized by sequential living anionic polymerization of styrene and hexamethylcyclotrisiloxane (D3). The number average molecular weight (Mn), polydispersity index (Mw/Mn) and PS volume fraction (φps) of the DBCP were MnPS = 23.0 kg mol-1, MnPDMS = 15.0 kg mol-1, Mw/Mn = 1.06 and φps = 0.6. Thin films of the DBCP were cast and solvent annealed on topographically patterned polyhedral oligomeric silsesquioxane (POSS) substrates. The lamellae repeat distance or pitch (λL) and the width of the PDMS features (dL) are ~35 nm and ~17 nm, respectively, as determined by SEM. The chemistry of the POSS substrates was tuned, and the effects on the self-assembly of the DBCP noted. The PDMS nanopatterns were used as etching mask in order to transfer the DBCP pattern to underlying silicon substrate by a complex plasma etch process yielding sub-15 nm silicon features.

10.
Anal Chem ; 90(2): 1122-1128, 2018 01 16.
Artículo en Inglés | MEDLINE | ID: mdl-29227090

RESUMEN

A new enzyme-free sensor based on iron oxide (Fe3O4) nanodots fabricated on an indium tin oxide (ITO) substrate via a block copolymer template was developed for highly sensitive and selective detection of hydrogen peroxide (H2O2). The self-assembly-based process described here for Fe3O4 formation is a simple, cost-effective, and reproducible process. The H2O2 response of the fabricated electrodes was linear from 2.5 × 10-3 to 6.5 mM with a sensitivity of 191.6 µA mM-1cm-2 and a detection limit of 1.1 × 10-3 mM. The electrocatalytic activity of Fe3O4 nanodots toward the electroreduction of H2O2 was described by cyclic voltammetric and amperometric techniques. The sensor described here has a strong anti-interference ability to a variety of common biological and inorganic substances.

11.
Nanomaterials (Basel) ; 7(10)2017 Sep 30.
Artículo en Inglés | MEDLINE | ID: mdl-28973987

RESUMEN

The prolonged and aggressive nature of scaling to augment the performance of silicon integrated circuits (ICs) and the technical challenges and costs associated with this has led to the study of alternative materials that can use processing schemes analogous to semiconductor manufacturing. We examine the status of recent efforts to develop active device elements using nontraditional lithography in this article, with a specific focus on block copolymer (BCP) feature patterning. An elegant route is demonstrated using directed self-assembly (DSA) of BCPs for the fabrication of aligned tungsten trioxide (WO3) nanowires towards nanoelectronic device application. The strategy described avoids conventional lithography practices such as optical patterning as well as repeated etching and deposition protocols and opens up a new approach for device development. Nanoimprint lithography (NIL) silsesquioxane (SSQ)-based trenches were utilized in order to align a cylinder forming poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP soft template. We outline WO3 nanowire fabrication using a spin-on process and the symmetric current-voltage characteristics of the resulting Ti/Au (5 nm/45 nm) contacted WO3 nanowires. The results highlight the simplicity of a solution-based approach that allows creating active device elements and controlling the chemistry of specific self-assembling building blocks. The process enables one to dictate nanoscale chemistry with an unprecedented level of sophistication, forging the way for next-generation nanoelectronic devices. We lastly outline views and future research studies towards improving the current platform to achieve the desired device performance.

12.
Macromol Rapid Commun ; 38(16)2017 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-28671756

RESUMEN

Polymer brush films with chemical functionality to attach to site specific substrate areas are introduced for area selective deposition (ASD) application. It is demonstrated that polymer brushes with chemically defined end sites can be selectively bound to copper-specific regions of patterned copper/silica (Cu/SiO2 ) substrates. The process described overcomes various limitations of currently used technology including cost, complexity, and throughput, with potential implications for future electronic devices and nanomanufacturing. A comparative study of amine-terminated polystyrene and amine-terminated poly-2-vinyl pyridine polymer brushes (i.e., PS-NH2 and P2VP-NH2 ) with similar molecular weights display contrasting behavior on patterned Cu/SiO2 line features. Further, a thiol terminated poly-2-vinyl pyridine polymer brush (i.e., P2VP-SH) is investigated as a direct spin-on process to fabricate a metal oxide layer atop Cu areas only. The results presented here detail a novel methodology and open a new exciting process for ASD practices that can facilitate the precise deposition of dense metal, semiconductor, or dielectric films. We also discuss the applicability of polymer brushes to ASD uses going forward.


Asunto(s)
Electroquímica/métodos , Polímeros/química , Cobre/química , Peso Molecular , Poliestirenos/química , Dióxido de Silicio/química
13.
Nano Lett ; 17(5): 2973-2978, 2017 05 10.
Artículo en Inglés | MEDLINE | ID: mdl-28379701

RESUMEN

Nanostructured surfaces are common in nature and exhibit properties such as antireflectivity (moth eyes), self-cleaning (lotus leaf), iridescent colors (butterfly wings), and water harvesting (desert beetles). We now understand such properties and can mimic some of these natural structures in the laboratory. However, these synthetic structures are limited since they are not easily mass produced over large areas due to the limited scalability of current technologies such as UV-lithography, the high cost of infrastructure, and the difficulty in nonplanar surfaces. Here, we report a solution process based on block copolymer (BCP) self-assembly to fabricate subwavelength structures on large areas of optical and curved surfaces with feature sizes and spacings designed to efficiently scatter visible light. Si nanopillars (SiNPs) with diameters of ∼115 ± 19 nm, periodicity of 180 ± 18 nm, and aspect ratio of 2-15 show a reduction in reflectivity by a factor of 100, <0.16% between 400 and 900 nm at an angle of incidence of 30°. Significantly, the reflectivity remains below 1.75% up to incident angles of 75°. Modeling the efficiency of a SiNP PV suggests a 24.6% increase in efficiency, representing a 3.52% (absolute) or 16.7% (relative) increase in electrical energy output from the PV system compared to AR-coated device.

14.
Phys Chem Chem Phys ; 19(4): 2805-2815, 2017 Jan 25.
Artículo en Inglés | MEDLINE | ID: mdl-28067366

RESUMEN

Molecular self-assembling block copolymers (BCPs) have shown promise as a next generation bottom-up lithography technology. However, a critical step in advancing this approach is the elimination of polymer dewetting due to bulk solvent nucleation and thermodynamically driven film rupture that can occur during the solvent vapor annealing process. We report on the pattern formation via phase segregation of spin coated diblock copolymer films through the investigation of annealing parameters in the limit of high solvent vapor saturation conditions that results in wafer-scale patterning without observing polymer dewetting defects. Specifically, the work addresses polymer dewetting in diblock copolymer nanodot templates through the use of a "neutral" functionalization layer and the development of a custom-built solvent vapor annealing chamber to precisely control saturation conditions. Furthermore, the long anneal times (4 h) using a standard static solvent vapor annealing procedure were reduced to ∼15-30 minutes with our dynamic solvent vapor annealing system for the high χ, cylindrical forming poly(styrene)-block-poly(4-vinyl-pyridine) [PS-b-P4VP] diblock copolymer system. We discuss the kinetic mechanism governing the phase segregation process that highlights the small processing window bounded by long phase segregation timescales (≳1 min) on one side and the initiation of polymer film dewetting on the other. These results demonstrate a key step towards realizing a high fidelity, low cost BCP patterning technique for large-scale "bottom-up" feature definition at nanometer length scales.

15.
ACS Omega ; 2(8): 4417-4423, 2017 Aug 31.
Artículo en Inglés | MEDLINE | ID: mdl-31457733

RESUMEN

Achieving ultrasmall dimensions of materials and retaining high throughput are critical fabrication considerations for nanotechnology use. This article demonstrates an integrated approach for developing isolated sub-20 nm silicon oxide features through combined "top-down" and "bottom-up" methods: nanoimprint lithography (NIL) and block copolymer (BCP) lithography. Although techniques like those demonstrated here have been developed for nanolithographic application in the microelectronics processing industry, similar approaches could be utilized for sensor, fluidic, and optical-based devices. Thus, this article centers on looking at the possibility of generating isolated silica structures on substrates. NIL was used to create intriguing three-dimensional (3-D) polyhedral oligomeric silsesquioxane (POSS) topographical arrays that guided and confined polystyrene-block-poly(dimethylsiloxane) (PS-b-PDMS) BCP nanofeatures in isolated regions. A cylinder forming PS-b-PDMS BCP system was successfully etched using a one-step etching process to create line-space arrays with a period of 35 nm in confined POSS arrays. We highlight large-area (>6 µm) coverage of line-space arrays in 3-D topographies that could potentially be utilized, for example, in nanofluidic systems. Aligned features for directed self-assembly application are also demonstrated. The high-density, confined silicon oxide nanofeatures in soft lithographic templates over macroscopic areas illustrate the advantages of integrating distinct lithographic methods for attaining discrete features in the deep nanoscale regime.

16.
Nanotechnology ; 28(4): 044001, 2017 Jan 27.
Artículo en Inglés | MEDLINE | ID: mdl-27981945

RESUMEN

Poly(styrene)-block-poly(dimethylsiloxane) (PS-b-PDMS) is an excellent block copolymer (BCP) system for self-assembly and inorganic template fabrication because of its high Flory-Huggins parameter (χ âˆ¼ 0.26) at room temperature in comparison to other BCPs, and high selective etch contrast between PS and PDMS block for nanopatterning. In this work, self-assembly in PS-b-PDMS BCP is achieved by combining hydroxyl-terminated poly(dimethylsiloxane) (PDMS-OH) brush surfaces with solvent vapor annealing. As an alternative to standard brush chemistry, we report a simple method based on the use of surfaces functionalized with silane-based self-assembled monolayers (SAMs). A solution-based approach to SAM formation was adopted in this investigation. The influence of the SAM-modified surfaces upon BCP films was compared with polymer brush-based surfaces. The cylinder forming PS-b-PDMS BCP and PDMS-OH polymer brush were synthesized by sequential living anionic polymerization. It was observed that silane SAMs provided the appropriate surface chemistry which, when combined with solvent annealing, led to microphase segregation in the BCP. It was also demonstrated that orientation of the PDMS cylinders may be controlled by judicious choice of the appropriate silane. The PDMS patterns were successfully used as an on-chip etch mask to transfer the BCP pattern to underlying silicon substrate with sub-25 nm silicon nanoscale features. This alternative SAM/BCP approach to nanopattern formation shows promising results, pertinent in the field of nanotechnology, and with much potential for application, such as in the fabrication of nanoimprint lithography stamps, nanofluidic devices or in narrow and multilevel interconnected lines.

17.
Nanotechnology ; 27(48): 484003, 2016 Dec 02.
Artículo en Inglés | MEDLINE | ID: mdl-27819793

RESUMEN

Dry plasma etching for the pattern transfer of mask features is fundamental to semiconductor processing and the development of device and electrically conducting elements becomes more challenging as features reach the deep nanoscale regime. In this work, high resolution transmission electron microscopy (TEM) coupled with energy dispersive x-ray (EDX) characterization were used to analyze the pattern transfer of graphoepitaxially aligned block copolymer (BCP) features to germanium (Ge) substrates as a function of time. The BCP patterns were converted into metal oxide hardmasks in order to affect good aspect ratios of the transferred features. An unusual interface layer between metal oxide nanowires and the germanium-on-insulator substrate was observed. EDX analysis shows that the origin of this interface layer is a result of the presence of a negative tone e-beam resist material, HSQ (hydrogen silsesquioxane). HSQ was employed as a guiding material to align line-space features of poly(styrene)-block-poly(4-vinylpyridine) (PS-b-P4VP) BCP with 16 nm half-pitch topography. Additionally, the existence of a metal oxide layer (from the initial PS-b-P4VP film) is also shown through ex situ TEM and EDX characterization. Three dimensional modeling of features is also provided giving a unique insight into the arrangement and structure of BCP features prior to and after the pattern transfer process. The results presented in this article highlight the accuracy of high resolution electron microscopy and elemental mapping of BCP generated on-chip etch masks to observe and understand through-film features affecting pattern transfer.

18.
Soft Matter ; 12(24): 5429-37, 2016 Jun 28.
Artículo en Inglés | MEDLINE | ID: mdl-27240904

RESUMEN

In this work, we are reporting a very simple and efficient method to form lamellar structures of symmetric polystyrene-block-poly(4-vinylpyridine) (PS-b-P4VP) copolymer thin films with vertically (to the surface plane) orientated lamellae using a solvent annealing approach. The methodology does not require any brush chemistry to engineer a neutral surface and it is the block neutral nature of the film-solvent vapour interface that defines the orientation of the lamellae. The microphase separated structure of two different molecular weight lamellar forming PS-block-P4VP copolymers formed under solvent vapour annealing was monitored using atomic force microscopy (AFM) so as to understand the morphological changes of the films upon different solvent exposure. In particular, the morphology changes from micellar structures to well-defined microphase separated arrangements. The choice of solvent/s (single and dual solvent exposure) and the solvent annealing conditions (temperature, time etc.) has important effects on structural transitions of the films and it was found that a block neutral solvent was required to realize vertically aligned P4VP lamellae. The results of the structural variation of the phase separated nanostructured films through the exposure to ethanol are also described.

19.
ACS Appl Mater Interfaces ; 8(12): 8295-304, 2016 Mar.
Artículo en Inglés | MEDLINE | ID: mdl-26950246

RESUMEN

Solvothermal vapor annealing (STVA) was employed to induce microphase separation in a lamellar forming block copolymer (BCP) thin film containing a readily degradable block. Directed self-assembly of poly(styrene)-block-poly(d,l-lactide) (PS-b-PLA) BCP films using topographically patterned silicon nitride was demonstrated with alignment over macroscopic areas. Interestingly, we observed lamellar patterns aligned parallel as well as perpendicular (perpendicular microdomains to substrate in both cases) to the topography of the graphoepitaxial guiding patterns. PS-b-PLA BCP microphase separated with a high degree of order in an atmosphere of tetrahydrofuran (THF) at an elevated vapor pressure (at approximately 40-60 °C). Grazing incidence small-angle X-ray scattering (GISAXS) measurements of PS-b-PLA films reveal the through-film uniformity of perpendicular microdomains after STVA. Perpendicular lamellar orientation was observed on both hydrophilic and relatively hydrophobic surfaces with a domain spacing (L0) of ∼32.5 nm. The rapid removal of the PLA microdomains is demonstrated using a mild basic solution for the development of a well-defined PS mask template. GISAXS data reveal the through-film uniformity is retained following wet etching. The experimental results in this article demonstrate highly oriented PS-b-PLA microdomains after a short annealing period and facile PLA removal to form porous on-chip etch masks for nanolithography application.

20.
Adv Mater ; 28(27): 5586-618, 2016 Jul.
Artículo en Inglés | MEDLINE | ID: mdl-26749571

RESUMEN

Block copolymers (BCPs) and their directed self-assembly (DSA) has emerged as a realizable complementary tool to aid optical patterning of device elements for future integrated circuit advancements. Methods to enhance BCP etch contrast for DSA application and further potential applications of inorganic nanomaterial features (e.g., semiconductor, dielectric, metal and metal oxide) are examined. Strategies to modify, infiltrate and controllably deposit inorganic materials by utilizing neat self-assembled BCP thin films open a rich design space to fabricate functional features in the nanoscale regime. An understanding and overview on innovative ways for the selective inclusion/infiltration or deposition of inorganic moieties in microphase separated BCP nanopatterns is provided. Early initial inclusion methods in the field and exciting contemporary reports to further augment etch contrast in BCPs for pattern transfer application are described. Specifically, the use of evaporation and sputtering methods, atomic layer deposition, sequential infiltration synthesis, metal-salt inclusion and aqueous metal reduction methodologies forming isolated nanofeatures are highlighted in di-BCP systems. Functionalities and newly reported uses for electronic and non-electronic technologies based on the inherent properties of incorporated inorganic nanostructures using di-BCP templates are highlighted. We outline the potential for extension of incorporation methods to triblock copolymer features for more diverse applications. Challenges and emerging areas of interest for inorganic infiltration of BCPs are also discussed.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA
...