Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 2 de 2
Filtrar
Más filtros










Base de datos
Intervalo de año de publicación
1.
ACS Appl Mater Interfaces ; 16(31): 41704-41715, 2024 Aug 07.
Artículo en Inglés | MEDLINE | ID: mdl-39056583

RESUMEN

In this work, the impact of a tungsten oxide (WO3) seed and capping layer for ferroelectric La-doped (Hf, Zr)O2 (La:HZO) based capacitors, designed with back-end-of-line (BEOL) compatibility, is systematically investigated. The WO3 capping layer supplies oxygen to the La:HZO layer throughout the fabrication process and during device cycling. This facilitates the annihilation of oxygen vacancies (Vo) within the La:HZO layer, thereby stabilizing its ferroelectric orthorhombic phase and resulting in an increase of the remanent polarization (Pr) value in the capacitor. Moreover, the effectiveness of the WO3 capping layer depends on the seed layer of the HZO film, suggesting that proper combination of the seed and capping layers should be employed to maximize the ferroelectric response. Finally, a TiN/TiO2 seed layer/La:HZO/WO3 capping layer/TiN capacitor is successfully fabricated and optimized by a complete set of atomic layer deposition (ALD) processes, achieving a superior 2Pr value and endurance value of more than 109 cycles at an electric field of 2.5 MV/cm. The WO3 capping layer is anticipated to offer a viable solution for doped HZO capacitors with reduced thickness, addressing the challenge of elevated Vo levels that favor the tetragonal phase and result in low 2Pr values.

2.
Micromachines (Basel) ; 12(9)2021 Sep 08.
Artículo en Inglés | MEDLINE | ID: mdl-34577727

RESUMEN

We studied the metal gate work function of different metal electrode and high-k dielectric combinations by monitoring the flat band voltage shift with dielectric thicknesses using capacitance-voltage measurements. We investigated the impact of different thermal treatments on the work function and linked any shift in the work function, leading to an effective work function, to the dipole formation at the metal/high-k and/or high-k/SiO2 interface. We corroborated the findings with the erase performance of metal/high-k/ONO/Si (MHONOS) capacitors that are identical to the gate stack in three-dimensional (3D) NAND flash. We demonstrate that though the work function extraction is convoluted by the dipole formation, the erase performance is not significantly affected by it.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA