Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 9 de 9
Filtrar
Más filtros











Base de datos
Intervalo de año de publicación
1.
Nanoscale ; 16(33): 15533-15543, 2024 Aug 22.
Artículo en Inglés | MEDLINE | ID: mdl-39133026

RESUMEN

Extreme ultraviolet (EUV) lithography is the leading lithography technique in CMOS mass production, moving towards the sub-10 nm half-pitch (HP) regime with the ongoing development of the next generation high numerical aperture (high NA) EUV scanners. Hitherto, EUV interference lithography (EUV-IL) utilizing transmission gratings has been a powerful patterning tool for the early development of EUV resists and related processes, playing a key role in exploring and pushing the boundaries of photon-based lithography. However, achieving patterning with HPs well below 10 nm using this method presents significant challenges. In response, this study introduces a novel EUV-IL setup that employs mirror-based technology and circumvents the limitations of diffraction efficiency towards the diffraction limit that is inherent in conventional grating-based approaches. The results are line/space patterning of the HSQ resist down to HP 5 nm using the standard EUV wavelength 13.5 nm, and the compatibility of the tool with shorter wavelengths beyond EUV. Mirror-based interference lithography paves the way towards the ultimate photon-based resolution at EUV wavelengths and beyond. This advancement is vital for scientific and industrial research, addressing the increasingly challenging needs of nanoscience and technology and future technology nodes of CMOS manufacturing in the few-nanometer HP regime.

2.
ACS Appl Mater Interfaces ; 16(32): 42947-42956, 2024 Aug 14.
Artículo en Inglés | MEDLINE | ID: mdl-39103240

RESUMEN

Organometallic tin-oxo-hydroxo cage compounds offer a promising photoresist platform for extreme ultraviolet photolithography (EUVL). Their reactivity is dominated by the facile breaking of the tin-carbon bonds upon photon or electron irradiation. As the cage is dicationic, it exists as a complex with anions for charge compensation. In the present work, we explore the n-butyltin-oxo cage with two tetrakis(pentafluorophenyl)borate counteranions (TinPFPB). In contrast to the small counterions that are typically used, the bulky PFPB anion absorbs a substantial fraction (∼30%) of the impinging EUV radiation (13.5 nm, 92 eV), and it has its own reactivity upon photoionization. When thin films of the complex are irradiated with EUV radiation at low doses, a positive-tone development is possible, which is rather unique as all other known tin-oxo cage resists show a negative tone (cross-linking) behavior. We propose that the initial positive tone behavior is a result of the chemical modification of the Sn cluster by fragments of the borate anions. For comparison, we include the tetrakis(p-tolyl)borate anion (TB) in the study, which has similar bulkiness, and its complex with the n-butyltin-oxo cage (TinTB) shows the usual negative tone EUV resist behavior. This negative-tone behavior for our control experiment rules out a hypothesis based purely on the steric hindrance of the anion as the cause of the different EUV reactivity.

3.
ACS Nano ; 18(35): 24076-24094, 2024 Sep 03.
Artículo en Inglés | MEDLINE | ID: mdl-39163414

RESUMEN

Resists that enable high-throughput and high-resolution patterning are essential in driving the semiconductor technology forward. The ultimate patterning performance of a resist in lithography is limited because of the trade-off between resolution, line-width roughness, and sensitivity; improving one or two of these parameters typically leads to a loss in the third. As the patterned feature sizes approach angstrom scale, the trade-off between these three metrics becomes increasingly hard to resolve and calls for a fundamental rethinking of the resist chemistry. Low-molecular-mass monodispersed metal-containing resists of high atom economy can provide not only very high resolution but also very low line-width roughness without sacrificing sensitivity. Here we describe a modular metal-containing resist platform (molecular mass <500 Da) where a molecular resist consists of just two components: a metal and a radical initiator bonded to it. This simple system not only is amenable to high-resolution electron beam lithography (EBL) and extreme ultraviolet lithography (EUVL) but also unites them mechanistically, giving a consolidated perspective of molecular and chemical processes happening during exposure. Irradiation of the resist leads to the production of secondary electrons that generate radicals in the initiator bonded to metal. This brings about an intramolecular rearrangement and causes solubility switch in the exposed resist. We demonstrate record 1.9-2.0 nm isolated patterns and 7 nm half-pitch dense line-space features over a large area using EBL. With EUVL, 12 nm half-pitch line-space features are shown at a dose of 68 mJ/cm2. In both of these patterning techniques, the line-width roughness was found to be ≤2 nm, a record low value for any resist platform, also leading to a low-performance trade-off metric, Z factor, of 0.6 × 10-8 mJ·nm3. With the ultimate resolution limited by instrumental factors, potential patterning at the level of a unit cell can be envisaged, making low-molecular-mass resists best poised for angstrom-scale lithography.

4.
J Am Chem Soc ; 145(43): 23609-23619, 2023 Nov 01.
Artículo en Inglés | MEDLINE | ID: mdl-37856831

RESUMEN

Metal-containing nanoparticles possess nanoscale sizes, but the exploitation of their nanofeatures in nanofabrication processes remains challenging. Herein, we report the realization of a class of zinc-based nanoparticle liquids and their potential for applications in controlled nanofabrication. Utilizing the metal-core charge shielding strategy, we prepared nanoparticles that display glass-to-liquid transition behavior with glass transition temperature far below room temperature (down to -50.9 °C). Theoretical calculations suggest the outer surface of these unusual nanoparticles is almost neutral, thus leading to interparticle interactions weak enough to give them liquefaction characteristics. Such features endow them with extraordinarily high dispersibility and excellent film-forming capabilities. Twenty-two types of nanoparticles synthesized by this strategy have all shown good lithographic properties in the mid-ultraviolet, electron beam, or extreme ultraviolet light, and these nanoparticle liquids have achieved controlled top-down nanofabrication with predesigned 18 or 16 nm patterns. This proposed strategy is synthetically scalable and structurally extensible and is expected to inspire the design of entirely new forms of nanomaterials.

5.
Small Methods ; 7(10): e2300309, 2023 Oct.
Artículo en Inglés | MEDLINE | ID: mdl-37337380

RESUMEN

Metal-organic nanoclusters(MOCs) are being increasingly used as prospective photoresist candidates for advanced nanoscale lithography technologies. However, insight into the irradiation-induced solubility switching process remains unclear. Hereby, the theoretical study employing density functional theory (DFT) calculations of the alkene-containing zirconium oxide MOC photoresists is reported, which is rationally synthesized accordingly, to disclose the mechanism of the nanoscale patterning driven by the switch of solubility from the acid-catalyzed or electron-triggered ligand dissociation. By evaluating the dependence of MOCs' imaging process on photoacid, lithographies of photoresists with and without photoacid generators after exposure to ultraviolet (UV), electron beam, and soft X-ray, it is revealed that photoacid is essential in UV lithography, but it demonstrates little effect on exposure dose in high-energy lithography. Furthermore, theoretical studies using DFT simulations to investigate the plausible photoacid-catalyzed, electron-triggered dissociation, and accompanying radical reaction are performed, and a mechanism is demonstrated that the nanoscale patterning of this type of MOCs is driven by the solubility switch resulting from dissociation-induced strong electrostatic interaction and low-energy barrier radical polymerization with other species. This study can give insights into the chemical mechanisms of patterning, and guide the rational design of photoresists to realize high resolution and high sensitivity.

6.
ACS Appl Mater Interfaces ; 15(1): 2289-2300, 2023 Jan 11.
Artículo en Inglés | MEDLINE | ID: mdl-36578201

RESUMEN

Nonchemically amplified resists based on triphenyl sulfonium triflate-modified polystyrene (PSTS) were prepared by a facile method of modification of polystyrene with sulfonium groups. The uploading of the sulfonium group can be well-controlled by changing the feed ratio of raw materials, resulting in PSTS0.5 and PSTS0.7 resists with sulfonium ratios of 50 and 70%, respectively. The optimum developer (methyl isobutyl ketone/ethanol = 1:7) is obtained by analyzing contrast curves of electron beam lithography (EBL). PSTS0.7 exhibits a better resolution (18 nm half-pitch (HP)) than the PSTS0.5 resist (20 nm HP) at the same developing conditions for EBL. This novel resist platform was further evaluated by extreme ultraviolet lithography, and patterning performance down to 13 nm HP at a dose of 186 mJ cm-2 with a line edge roughness of 2.8 nm was achieved. Our detailed study of the reaction and patterning mechanism suggests that the decomposition of the polar triflate and triphenyl sulfonium groups into nonpolar sulfide or polystyrene plays an important role in the solubility switch.

7.
ACS Omega ; 7(33): 29266-29273, 2022 Aug 23.
Artículo en Inglés | MEDLINE | ID: mdl-36033723

RESUMEN

A series of t-butyloxycarbonyl (t-Boc) protected tetraphenylsilane derivatives (TPSi-Boc x , x = 60, 70, 85, 100%) were synthesized and used as resist materials to investigate the effect of t-Boc protecting ratio on advanced lithography. The physical properties such as solubility, film-forming ability, and thermal stability of TPSi-Boc x were examined to assess the suitability for application as candidates for positive-tone molecular glass resist materials. The effects of t-Boc protecting ratio had been studied in detail by electron beam lithography. The results suggest that the TPSi-Boc x resist with different t-Boc protecting ratios exhibit a significant change in contrast, pattern blur, and the density of bridge defect. The TPSi-Boc70% resist achieves the most excellent patterning capability. The extreme ultraviolet (EUV) lithography performance on TPSi-Boc70% was evaluated by using the soft X-ray interference lithography. The results demonstrate that the TPSi-Boc70% resist can achieve excellent patterning capability down to 20 nm isolated lines at 8.7 mJ/cm2 and 25 nm dense lines at 14.5 mJ/cm2. This study will help us to understand the relationship between the t-Boc protecting ratio and the patterning ability and supply useful guidelines for designing molecular resists.

8.
ACS Mater Au ; 2(3): 343-355, 2022 May 11.
Artículo en Inglés | MEDLINE | ID: mdl-36855383

RESUMEN

The absorption of extreme ultraviolet (EUV) radiation by a photoresist strongly depends on its atomic composition. Consequently, elements with a high EUV absorption cross section can assist in meeting the demand for higher photon absorbance by the photoresist to improve the sensitivity and reduce the photon shot noise induced roughness. In this work, we enhanced the EUV absorption of the methacrylic acid ligands of Zn oxoclusters by introducing fluorine atoms. We evaluated the lithography performance of this fluorine-rich material as a negative tone EUV photoresist along with extensive spectroscopic and microscopic studies, providing deep insights into the underlying mechanism. UV-vis spectroscopy studies demonstrate that the presence of fluorine in the oxocluster enhances its stability in the thin films to the ambient atmosphere. However, the EUV photoresist sensitivity (D 50) of the fluorine-rich oxocluster is decreased compared to its previously studied methacrylic acid analogue. Scanning transmission X-ray microscopy and in situ X-ray photoelectron spectroscopy in combination with FTIR and UV-vis spectroscopy were used to gain insights into the chemical changes in the material responsible for the solubility switch. The results support decarboxylation of the ligands and subsequent radical-induced polymerization reactions in the thin film upon EUV irradiation. The rupture of carbon-fluorine bonds via dissociative electron attachment offers a parallel way of generating radicals. The mechanistic insights obtained here will be applicable to other hybrid materials and potentially pave the way for the development of EUV materials with better performance.

9.
ACS Appl Mater Interfaces ; 13(43): 51790-51798, 2021 Nov 03.
Artículo en Inglés | MEDLINE | ID: mdl-34669380

RESUMEN

Extreme ultraviolet (EUV) lithography uses 13.5 nm light to reach the sub-20 nm resolution. However, the process of pattern formation induced by this high-energy light is not well-understood. In this work, we provide an inorganic EUV photoresist with fluorescence properties by introducing a carbazole derivative as a ligand, and we study its effect on the patterning process. Using the fluorescence properties, changes in the emission of the material after EUV exposure could be tracked by means of spectroscopy and microscopy. The resist sensitivity was substantially reduced by the incorporation of the carbazole benzoate ligands, which is attributed to hole trapping and steric hindrance. After EUV irradiation of the resist films, infrared, UV-visible absorption, and fluorescence spectroscopies showed that the carbazole units were still mostly intact, although their fluorescence intensity was lowered. Our work shows that fluorescent labeling can provide relevant mechanistic insights in the patterning process of resists, potentially with a molecular resolution.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA