Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 108
Filtrar
Más filtros











Base de datos
Intervalo de año de publicación
1.
Nanotechnology ; 35(43)2024 Aug 12.
Artículo en Inglés | MEDLINE | ID: mdl-39084235

RESUMEN

Indium oxide (In2O3) is a promising channel material for thin-film transistors (TFTs). In this work, we develop an atomic layer deposition (ALD) process of using trimethylindium and ozone (O3) to deposit In2O3films and fabricate ultrathin In2O3TFTs. The In2O3TFTs with 4 nm channel thickness show generally good switching characteristics with a highIon/Ioffof 108, a high mobility (µFE) of 16.2cm2V-1s-1and a positive threshold voltage (Vth) of 0.48 V. Although the 4 nm In2O3TFTs exhibit short channel effect, it can be improved by adding an ALD Ga2O3capping layer to afford the bilayer In2O3/Ga2O3channel structure. The afforded In2O3/Ga2O3TFTs exhibit improved immunity to the short channel effect, with good TFT characteristics ofIon/Ioffof 107,µFEof 9.3cm2V-1s-1, and positiveVthof 2.23 V. Overall, the thermal budget of the entire process is only 400 °C, which is suitable for the display and CMOS back-end-of-line-compatible applications.

2.
ACS Appl Mater Interfaces ; 16(26): 34030-34041, 2024 Jul 03.
Artículo en Inglés | MEDLINE | ID: mdl-38913653

RESUMEN

The removal of toxic heavy metal ions from water resources is crucial for environmental protection and public health. In this study, we address this challenge by developing a surface functionalization technique for the selective adsorption of these contaminants. Our approach involves atomic layer deposition (ALD) followed by vapor-phase silanization of porous substrates. We utilized porous silica gel powder (∼100 µm particles, 89 m2/g surface area, ∼30 nm pores) as an initial substrate. This powder was first coated with ∼0.5 nm ALD Al2O3, followed by vapor-phase grafting of a thiol-functional silane. The modified powder, particularly in acidic conditions (pH = 4), showed high selectivity in adsorbing Cd(II), As(V), Pb(II), Hg(II), and Cu(II) heavy metal ions in mixed ion solutions over common benign ions (e.g., Na, K, Ca, and Mg). Langmuir adsorption isotherms and breakthrough adsorption studies were conducted to assess heavy metal binding affinity and revealed the order of Cd(II) < Pb(II) < Cu(II) < As(V) < Hg(II), with a significantly higher affinity for As(V) and Hg(II) ions. Time-dependent uptake studies demonstrated rapid removal of heavy metal ions from aqueous environments, with Hg(II) exhibiting the fastest adsorption kinetics on thiol-modified surfaces. These findings highlight the potential of ALD and vapor-phase silanization to create effective adsorbents for the targeted removal of hazardous contaminants from water.

3.
Small ; 20(35): e2402003, 2024 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-38884191

RESUMEN

Global healthcare based on the Internet of Things system is rapidly transforming to measure precise physiological body parameters without visiting hospitals at remote patients and associated symptoms monitoring. 2D materials and the prevailing mood of current ever-expanding MXene-based sensing devices motivate to introduce first the novel iridium (Ir) precious metal incorporated vanadium (V)-MXene via industrially favored emerging atomic layer deposition (ALD) techniques. The current work contributes a precise control and delicate balance of Ir single atomic forms or clusters on the V-MXene to constitute a unique precious metal-MXene embedded heterostructure (Ir-ALD@V-MXene) in practical real-time sensing healthcare applications to thermography with human-machine interface for the first time. Ir-ALD@V-MXene delivers an ultrahigh durability and sensing performance of 2.4% °C-1 than pristine V-MXene (0.42% °C-1), outperforming several conventionally used MXenes, graphene, underscoring the importance of the Ir-ALD innovative process. Aberration-corrected advanced ultra-high-resolution transmission/scanning transmission electron microscopy confirms the presence of Ir atomic clusters on well-aligned 2D-layered V-MXene structure and their advanced heterostructure formation (Ir-ALD@V-MXene), enhanced sensing mechanism is investigated using density functional theory (DFT) computations. A rational design empowering the Ir-ALD process on least explored V-MXene can potentially unfold further precious metals ALD-process developments for next-generation wearable personal healthcare devices.

4.
Nanotechnology ; 35(36)2024 Jun 18.
Artículo en Inglés | MEDLINE | ID: mdl-38888294

RESUMEN

In this perspective we discuss the progress made in the mechanistic studies of the surface chemistry associated with the atomic layer deposition (ALD) of metal films and the usefulness of that knowledge for the optimization of existing film growth processes and for the design of new ones. Our focus is on the deposition of late transition metals. We start by introducing some of the main surface-sensitive techniques and approaches used in this research. We comment on the general nature of the metallorganic complexes used as precursors for these depositions, and the uniqueness that solid surfaces and the absence of liquid solvents bring to the ALD chemistry and differentiate it from what is known from metalorganic chemistry in solution. We then delve into the adsorption and thermal chemistry of those precursors, highlighting the complex and stepwise nature of the decomposition of the organic ligands that usually ensued upon their thermal activation. We discuss the criteria relevant for the selection of co-reactants to be used on the second half of the ALD cycle, with emphasis on the redox chemistry often associated with the growth of metallic films starting from complexes with metal cations. Additional considerations include the nature of the substrate and the final structural and chemical properties of the growing films, which we indicate rarely retain the homogeneous 2D structure often aimed for. We end with some general conclusions and personal thoughts about the future of this field.

5.
ACS Appl Mater Interfaces ; 16(27): 35043-35052, 2024 Jul 10.
Artículo en Inglés | MEDLINE | ID: mdl-38941589

RESUMEN

Titanium (Ti) is widely used as anode current collectors in proton exchange membrane (PEM)-based water electrolyzers due to its self-passivated oxide layer, which protects it from corrosion in acidic solutions. However, the cost of the material and machining process for Ti is high. A wider utilization of water electrolyzers to produce hydrogen could be favored by the use of less expensive coated aluminum (Al) substrates, which could potentially replace high-cost Ti-based components. It is shown here by depositing a pinhole-free oxygen vacancy-rich titanium oxide (TiOx) protection layer by atomic layer deposition (ALD), the corrosion resistance of Al substrates in acidic environments at oxygen evolution potentials can be enhanced. The optimization of the oxygen vacancy concentration is accomplished by tuning the ALD parameters to achieve ideal stoichiometry and conformal coating on rough substrates. The robustness of the coatings was evaluated at high potentials (2.4 V vs NHE = normal hydrogen electrode) in low pH conditions. A low TiOx dissolution rate of the order of ∼6 nm year-1 was observed. By testing under industrially relevant conditions, i.e., high applied voltages (2.4 V) and low pH, an Al loss at around the zero ppb level was achieved using optimized ALD parameters. It is proposed that a 40 nm TiOx coating on Al may be adequate to provide 60,000 h of durability in a PEM water electrolyzer anode current collector.

6.
Artículo en Inglés | MEDLINE | ID: mdl-38662878

RESUMEN

Drain-induced barrier lowering (DIBL) is one of the most critical obstacles degrading the reliability of integrated circuits based on miniaturized transistors. Here, the effect of a crystallographic structure change in InGaO [indium gallium oxide (IGO)] thin-films on the DIBL was investigated. Preferentially oriented IGO (po-IGO) thin-film transistors (TFTs) have outstanding device performances with a field-effect mobility of 81.9 ± 1.3 cm2/(V s), a threshold voltage (VTH) of 0.07 ± 0.03 V, a subthreshold swing of 127 ± 2.0 mV/dec, and a current modulation ratio of (2.9 ± 0.2) × 1011. They also exhibit highly reliable electrical characteristics with a negligible VTH shift of +0.09 (-0.14) V under +2 (-2) MV/cm and 60 °C for 3600 s. More importantly, they reveal strong immunity to the DIBL of 17.5 ± 1.2 mV/V, while random polycrystalline In2O3 (rp-In2O3) and IGO (rp-IGO) TFTs show DIBL values of 197 ± 5.3 and 46.4 ± 1.2 mV/V, respectively. This is quite interesting because the rp- and po-IGO thin-films have the same cation composition ratio (In/Ga = 8:2). Given that the lateral diffusion of oxygen vacancies from the source/drain junction to the channel region via grain boundaries can reduce the effective length (Leff) of the oxide channel, this improved immunity could be attributed to suppressed lateral diffusion by preferential growth. In practice, the po-IGO TFTs have a longer Leff than the rp-In2O3 and -IGO TFTs even with the same patterned length. The effect of the crystallographic-structure-dependent Leff variation on the DIBL was corroborated by technological computer-aided design simulation. This work suggests that the atomic-layer-deposited po-IGO thin-film can be a promising candidate for next-generation electronic devices composed of the miniaturized oxide transistors.

7.
ACS Appl Mater Interfaces ; 16(11): 14288-14295, 2024 Mar 20.
Artículo en Inglés | MEDLINE | ID: mdl-38442210

RESUMEN

Area-selective atomic layer deposition (AS-ALD) processes for TiO2 and TiON on SiN as the growth area vs SiO2 as the nongrowth area are demonstrated on patterns created by state-of-the-art 300 mm semiconductor wafer fabrication. The processes consist of an in situ CF4/N2 plasma etching step that has the dual role of removing the SiN native oxide and passivating the SiO2 surface with fluorinated species, thus rendering the latter surface less reactive toward titanium tetrachloride (TiCl4) precursor. Additionally, (dimethylamino)trimethylsilane was employed as a small molecule inhibitor (SMI) to further enhance the selectivity. Virtually perfect selectivity was obtained when combining the deposition process with intermittent CF4/N2 plasma-based back-etching steps, as demonstrated by scanning and transmission electron microscopy inspections. Application-compatible thicknesses of ∼8 and ∼5 nm were obtained for thermal ALD of TiO2 and plasma ALD of TiON.

8.
ACS Appl Mater Interfaces ; 16(12): 14995-15003, 2024 Mar 27.
Artículo en Inglés | MEDLINE | ID: mdl-38487867

RESUMEN

Amorphous oxide semiconductors have been widely studied for various applications, including thin-film transistors (TFTs) for display backplanes and semiconductor memories. However, the inherent instability, limited mobility, and complexity of multicomponent oxide semiconductors for achieving high aspect ratios and conformality of cation distribution remain challenging. Indium-zinc oxide (IZO), known for its high mobility, also faces obstacles in instability resulting from high carrier doping density and low ionization energy. To address these issues and attain a balance between mobility and stability, adopting a highly aligned structure such as a c-axis aligned crystalline IGZO could be advantageous. However, limited studies have reported enhanced electrical performance using crystalline IZO, likely attributed to the high thermal stability of the individual components (In2O3 and ZnO). Here, we first propose a c-axis aligned composite (CAAC) IZO with superior TFT properties, including a remarkable performance of field-effect mobility (µFE) of 55.8 cm2/(V s) and positive-bias-temperature-stress stability of +0.16 V (2 MV/cm, 60 °C, 1 h), as well as a low subthreshold swing of 0.18 V/decade and hysteresis as 0.01 V, which could be obtained through optimization of growth temperature and composition using thermal atomic layer deposition. These results surpass those of TFTs based on nanocrystalline/polycrystalline/amorphous-IZO. We conducted a thorough investigation of CAAC-IZO and revealed that the growth temperature and cation distribution profoundly influence the crystal structure and device properties. Finally, we observed excellent compositional conformality and 97% step coverage of IZO on a high-aspect-ratio (HAR) structure with an aspect ratio reaching 40:1, which is highly promising for future applications. Our results include a detailed investigation of the influence of the crystal structure of IZO on the film and TFT performance and suggest an approach for future applications.

9.
ACS Appl Mater Interfaces ; 16(13): 16983-16995, 2024 Apr 03.
Artículo en Inglés | MEDLINE | ID: mdl-38506615

RESUMEN

We have examined the atomic layer deposition (ALD) of Al2O3 using TMA as the precursor and t-BuOH and H2O as the co-reactants, focusing on the effects of the latter on both the ALD process and the possible modification of the underlying substrate. We employed a quartz crystal microbalance (QCM) to monitor ALD in situ and in real time, and the deposited thin films have been characterized using X-ray photoelectron spectroscopy, spectroscopic ellipsometry, X-ray reflectivity, and atomic force microscopy. Growth of thin films of Al2O3 using TMA and either t-BuOH or H2O as the co-reactant at T = 285 °C produces thin films of similar physical properties (density, stoichiometry, minimal carbon incorporation), and the growth rate per cycle is similar for the two co-reactants at this temperature. At a lower temperature of T = 120 °C, the behavior is starkly different, where growth occurs with H2O but not with t-BuOH. At either process temperature, we find no evidence for significant coverages of a long-lived tert-butoxy species from the reaction of t-BuOH. Deposition of thin films of Al2O3 on metal surfaces of Cu and Co has been examined for evidence of interfacial oxidation. While growth with either co-reactant does not lead to the oxidation of the underlying Cu substrate, use of H2O leads to the oxidation of Co, but use of t-BuOH as the co-reactant does not. Thermodynamic factors may affect the early stages of growth, as Al species will likely scavenge all free O species. In contrast, at later times, diffusion of species through the deposited Al2O3 thin film could result in oxidation at the Al2O3|metal interface, a process that is strongly hindered in the case of t-BuOH due to its size. This observation highlights the importance of the choice of the co-reactant concerning ALD of oxides on metal surfaces.

10.
Nanotechnology ; 35(26)2024 Apr 09.
Artículo en Inglés | MEDLINE | ID: mdl-38522103

RESUMEN

Titanium oxide (TiO2) coated polyimide has broad application prospects under extreme conditions. In order to obtain a high-quality ultra-thin TiO2coating on polyimide by atomic layer deposition (ALD), the polyimide was activated byin situoxygen plasma. It was found that a large number of polar oxygen functional groups, such as carboxyl, were generated on the surface of the activated polyimide, which can significantly promote the preparation of TiO2coating by ALD. The nucleation and growth of TiO2were studied by x-ray photoelectron spectroscopy monitoring and scanning electron microscopy observation. On the polyimide activated by oxygen plasma, the size of TiO2nuclei decreased and the quantity of TiO2nuclei increased, resulting in the growth of a highly uniform and dense TiO2coating. This coating exhibited excellent resistance to atomic oxygen. When exposed to 3.5 × 1021atom cm-2atomic oxygen flux, the erosion yield of the polyimide coated with 100 ALD cycles of TiO2was as low as 3.0 × 10-25cm3/atom, which is one order less than that of the standard POLYIMIDE-ref Kapton®film.

11.
Nano Lett ; 24(4): 1324-1331, 2024 Jan 31.
Artículo en Inglés | MEDLINE | ID: mdl-38230977

RESUMEN

Oxide semiconductors (OS) are attractive materials for memory and logic device applications owing to their low off-current, high field effect mobility, and superior large-area uniformity. Recently, successful research has reported the high field-effect mobility (µFE) of crystalline OS channel transistors (above 50 cm2 V-1 s-1). However, the memory and logic device application presents challenges in mobility and stability trade-offs. Here, we propose a method for achieving high-mobility and high-stability by lowering the grain boundary effect. A DBADMIn precursor was synthesized to deposit highly c-axis-aligned C(222) crystalline 3 nm thick In2O3 films. In this study, the 250 °C deposited 3 nm thick In2O3 channel transistor exhibited high µFE of 41.12 cm2 V-1 s-1, Vth of -0.50 V, and SS of 150 mV decade-1 with superior stability of 0.16 V positive shift during PBTS at 100 °C, 3 MV cm-1 stress conditions for 3 h.

12.
Adv Sci (Weinh) ; 11(1): e2303055, 2024 Jan.
Artículo en Inglés | MEDLINE | ID: mdl-37937382

RESUMEN

Atomic layer deposition (ALD) has become the most widely used thin-film deposition technique in various fields due to its unique advantages, such as self-terminating growth, precise thickness control, and excellent deposition quality. In the energy storage domain, ALD has shown great potential for supercapacitors (SCs) by enabling the construction and surface engineering of novel electrode materials. This review aims to present a comprehensive outlook on the development, achievements, and design of advanced electrodes involving the application of ALD for realizing high-performance SCs to date, as organized in several sections of this paper. Specifically, this review focuses on understanding the influence of ALD parameters on the electrochemical performance and discusses the ALD of nanostructured electrochemically active electrode materials on various templates for SCs. It examines the influence of ALD parameters on electrochemical performance and highlights ALD's role in passivating electrodes and creating 3D nanoarchitectures. The relationship between synthesis procedures and SC properties is analyzed to guide future research in preparing materials for various applications. Finally, it is concluded by suggesting the directions and scope of future research and development to further leverage the unique advantages of ALD for fabricating new materials and harness the unexplored opportunities in the fabrication of advanced-generation SCs.

13.
Small ; 20(7): e2305868, 2024 Feb.
Artículo en Inglés | MEDLINE | ID: mdl-37798640

RESUMEN

Transition metal nitrides (TMNs) are promising electrode materials for use in high-performance electrochemical energy storage devices due to their unique properties, which include a high conductivity, pseudocapacitance, and energy density. However, structural instability during electrochemical reactions has limited their practical deployment for energy storage devices. In this context, the present study fabricated a CoOx @NiMoN/Ti3 C2 Tx electrode via in situ growth on Ni foam using hydrothermal treatment with post-nitrogenization. The effect of atomic layer deposition (ALD) of CoOx on the TMN/Ti3 C2 Tx interface and the consequent electrochemical charge storage mechanisms are investigated in detail. The proposed CoOx @NiMoN/Ti3 C2 Tx electrode delivers an impressive specific capacity in a 2 m potassium hydroxide (KOH) electrolyte and is then employed in both a hybrid solid-state supercapacitor (HSSC) with reduced graphene oxide and a symmetric SC in a 2 m KOH + polyvinyl alcohol (PVA) gel electrolyte. Outstanding charge storage and high capacity retention during cyclic testing are observed for both energy storage devices. The exceptional electrochemical performance of the fabricated electrode is a result of its high conductivity and high number of active sites. Here a feasible new strategy is demonstrated for the fabrication of stable energy storage devices with a high energy density using TMNs and MXenes.

14.
Materials (Basel) ; 16(23)2023 Dec 03.
Artículo en Inglés | MEDLINE | ID: mdl-38068233

RESUMEN

In this work, a novel approach is suggested to grow bilayer fibers by combining electrospinning and atomic layer deposition (ALD). Polyvinyl alcohol (PVA) fibers are obtained by electrospinning and subsequently covered with thin Al2O3 deposited at a low temperature by ALD. To burn the PVA core, the fibrous structures are subjected to high-temperature annealing. Differential scanning calorimetry (DSC) analysis of the PVA mat is performed to establish the proper annealing regime for burning off the PVA core and obtaining hollow fibers. The hollow fibers thus formed are covered with a ZnO layer deposited by ALD at a higher temperature within the ALD window of ZnO. This procedure allows us to prepare ZnO films with better crystallinity and stoichiometry. Different characterization methods-SEM, ellipsometry, XRD, and XPS-are performed at each step to investigate the processes in detail.

15.
ACS Appl Mater Interfaces ; 15(40): 47799-47809, 2023 Oct 11.
Artículo en Inglés | MEDLINE | ID: mdl-37769061

RESUMEN

Top-gate self-aligned structured oxide thin-film transistors (TFTs) are suitable for the backplanes of high-end displays because of their low parasitic capacitances. The gate insulator (GI) deposition process should be carefully designed to manufacture a highly stable, high-mobility oxide TFT, particularly for a top-gate structure. In this study, a nanometer-thick Al2O3 layer via plasma-enhanced atomic layer deposition (PE-ALD) is deposited on the top-gate bottom-contact structured oxide TFT as the interface tailoring layer, which can also act as the hydrogen barrier to modulate carrier generation from hydrogen incorporation into the active layer of the TFT during the following process such as postannealing. Al-doped InSnZnO (Al/ITZO) with an Al/In/Sn/Zn atomic ratio composition of 1.7:24.3:40:34 was used for high mobility oxide semiconductors, and an Al2O3/Si3N4 bilayer was used for the GI. The degradation issue due to the excellent barrier characteristics of Al2O3 and Si3N4 can be minimized. An oxide TFT fabricated without the interface tailoring layer exhibits conductor-like characteristics owing to the excessive carrier generation by hydrogen incorporation. However, TFTs with additional interface layers exhibit reasonable characteristics and distinct trends in electrical characteristics depending on the thicknesses of the interface layers. The optimized devices exhibit an average turn-on voltage (Von) of -0.31 V with 33.63 cm2/(V s) of high mobility and 0.09 V/dec of subthreshold swing value. The interfaces between the active layer and hydrogen barriers were investigated using a high-resolution transmission electron microscope, contact angle measurement, and secondary ion mass spectroscopy to reveal the origin of the trends in properties between the devices. The top-gate device with a hydrogen barrier using the four-cycle deposition exhibits optimum electrical characteristics of both high mobility and good stability with only a 0.04 V shift of Von under positive-bias temperature stress (PBTS). We realize a high-end, self-aligned TFT with high mobility [34.7 cm2/(V s)] and negligible Von shift of -0.06 V under PBTS by applying a subnanometer hydrogen barrier.

16.
Nanomaterials (Basel) ; 13(17)2023 Aug 30.
Artículo en Inglés | MEDLINE | ID: mdl-37686963

RESUMEN

The requirements for ever-increasing volumes of data storage have urged intensive studies to find feasible means to satisfy them. In the long run, new device concepts and technologies that overcome the limitations of traditional CMOS-based memory cells will be needed and adopted. In the meantime, there are still innovations within the current CMOS technology, which could be implemented to improve the data storage ability of memory cells-e.g., replacement of the current dominant floating gate non-volatile memory (NVM) by a charge trapping memory. The latter offers better operation characteristics, e.g., improved retention and endurance, lower power consumption, higher program/erase (P/E) speed and allows vertical stacking. This work provides an overview of our systematic studies of charge-trapping memory cells with a HfO2/Al2O3-based charge-trapping layer prepared by atomic layer deposition (ALD). The possibility to tailor density, energy, and spatial distributions of charge storage traps by the introduction of Al in HfO2 is demonstrated. The impact of the charge trapping layer composition, annealing process, material and thickness of tunneling oxide on the memory windows, and retention and endurance characteristics of the structures are considered. Challenges to optimizing the composition and technology of charge-trapping memory cells toward meeting the requirements for high density of trapped charge and reliable storage with a negligible loss of charges in the CTF memory cell are discussed. We also outline the perspectives and opportunities for further research and innovations enabled by charge-trapping HfO2/Al2O3-based stacks.

17.
Beilstein J Nanotechnol ; 14: 951-963, 2023.
Artículo en Inglés | MEDLINE | ID: mdl-37736660

RESUMEN

In this work, we present the development of an atomic layer deposition (ALD) process for metallic cobalt. The process operates at low temperatures using dicobalt hexacarbonyl-1-heptyne [Co2(CO)6HC≡CC5H11] and hydrogen plasma. For this precursor an ALD window in the temperature range between 50 and 110 °C was determined with a constant deposition rate of approximately 0.1 Å/cycle. The upper limit of the ALD window is defined by the onset of the decomposition of the precursor. In our case, decomposition occurs at temperatures of 125 °C and above, resulting in a film growth in chemical vapour deposition mode. The lower limit of the ALD window is around 35 °C, where the reduction of the precursor is incomplete. The saturation behaviour of the process was investigated. X-ray photoelectron spectroscopy measurements could show that the deposited cobalt is in the metallic state. The finally established process in ALD mode shows a homogeneous coating at the wafer level.

18.
ACS Appl Mater Interfaces ; 15(30): 36550-36563, 2023 Aug 02.
Artículo en Inglés | MEDLINE | ID: mdl-37489641

RESUMEN

Highly stable IGZO thin-film transistors derived from atomic layer deposition are crucial for the semiconductor industry. However, unavoidable defect generation during high-temperature annealing results in abnormal positive bias temperature stress (PBTS). Herein, we propose a defect engineering method by controlling the gate insulator (GI) deposition temperature. Applying a GI deposition temperature of 400 °C to the In0.52Ga0.18Zn0.30O active layer effectively suppresses defects even after 600 °C annealing, preserving the amorphous phase of IGZO. The device exhibits a threshold voltage (VTH) of 0.05 V, a field-effect mobility of 27.6 cm2/Vs, a subthreshold swing of 61 mV/decade, and a hysteresis voltage of 0.01 V, demonstrating highly reliable PBTS and negative bias temperature stress. A power-law fit of the PBTS stability under 2 MV/cm of gate field stress and 120 °C of temperature stress predicts a VTH shift of -0.01 V after 10 years. Moreover, the proposed method ensures reliable uniformity over a large 4 in. area.

19.
ACS Appl Mater Interfaces ; 15(26): 31652-31663, 2023 Jul 05.
Artículo en Inglés | MEDLINE | ID: mdl-37350067

RESUMEN

Achieving high mobility and reliability in atomic layer deposition (ALD)-based IGZO thin-film transistors (TFTs) with an amorphous phase is vital for practical applications in relevant fields. Here, we suggest a method to effectively increase stability while maintaining high mobility by employing the selective application of nitrous oxide plasma reactant during plasma-enhanced ALD (PEALD) at 200 °C process temperature. The nitrogen-doping mechanism is highly dependent on the intrinsic carbon impurities or nature of each cation, as demonstrated by a combination of theoretical and experimental research. The Ga2O3 subgap states are especially dependent on plasma reactants. Based on these insights, we can obtain high-performance indium-rich PEALD-IGZO TFTs (threshold voltage: -0.47 V; field-effect mobility: 106.5 cm2/(V s); subthreshold swing: 113.5 mV/decade; hysteresis: 0.05 V). In addition, the device shows minimal threshold voltage shifts of +0.45 and -0.10 V under harsh positive/negative bias temperature stress environments (field stress: ±2 MV/cm; temperature stress: 95 °C) after 10000 s.

20.
Small ; 19(39): e2303254, 2023 Sep.
Artículo en Inglés | MEDLINE | ID: mdl-37226363

RESUMEN

Perovskite solar cells (PSCs) based on the SnO2 electron transport layer (ETL) have achieved remarkable photovoltaic efficiency. However, the commercial SnO2 ETLs show various shortcomings. The SnO2 precursor is prone to agglomeration, resulting in poor morphology with numerous interface defects. Additionally, the open circuit voltage (Voc ) would be constrained by the energy level mismatch between the SnO2 and the perovskite. And, few studies designed SnO2 -based ETLs to promote crystal growth of PbI2 , a crucial prerequisite for obtaining high-quality perovskite films via the two-step method. Herein, we proposed a novel bilayer SnO2 structure that combined the atomic layer deposition (ALD) and sol-gel solution to well address the aforementioned issues. Due to the unique conformal effect of ALD-SnO2 , it can effectively modulate the roughness of FTO substrate, enhance the quality of ETL, and induce the growth of PbI2 crystal phase to develop the crystallinity of perovskite layer. Furthermore, a created built-in field of the bilayer SnO2 can help to overcome the electron accumulation at the ETL/perovskite interface, leading to a higher Voc and fill factor. Consequently, the efficiency of PSCs with ionic liquid solvent increases from 22.09% to 23.86%, maintaining 85% initial efficiency in a 20% humidity N2 environment for 1300 h.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA