Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Resultados 1 - 20 de 2.104
Filtrar
Más filtros

Publication year range
1.
Nano Lett ; 24(15): 4485-4492, 2024 Apr 17.
Artículo en Inglés | MEDLINE | ID: mdl-38578031

RESUMEN

Confining DNA in nanochannels is an important approach to studying its structure and transportation dynamics. Graphene nanochannels are particularly attractive for studying DNA confinement due to their atomic flatness, precise height control, and excellent mechanical strength. Here, using femtosecond laser etching and wetting transfer, we fabricate graphene nanochannels down to less than 4.3 nm in height, with the length-to-height ratios up to 103. These channels exhibit high stability, low noise, and self-cleaning ability during the long-term ionic current recording. We report a clear linear relationship between DNA length and the residence time in the channel and further utilize this relationship to differentiate DNA fragments based on their lengths, ranging widely from 200 bps to 48.5 kbps. The graphene nanochannel presented here provides a potential platform for label-free analyses and reveals fundamental insights into the conformational dynamics of DNA and proteins in confined space.


Asunto(s)
Grafito , Electricidad , Conductividad Eléctrica , Proteínas , ADN/química
2.
Nano Lett ; 24(39): 12218-12225, 2024 Oct 02.
Artículo en Inglés | MEDLINE | ID: mdl-39263891

RESUMEN

Neutral electrochemical nitrate (NO3-) reduction to ammonia involves sluggish and complex kinetics, so developing efficient electrocatalysts at low potential remains challenging. Here, we report a domain-confined etching strategy to construct RuxMoy nanoalloys on porous nitrogen-doped carbon by optimizing the Ru-to-Mo ratio, achieving efficient neutral NH3 electrosynthesis. Combining in situ spectroscopy and theoretical simulations demonstrated a rational synergic effect between Ru and Mo in nanoalloys that reinforces *H adsorption and lowers the energy barrier of NO3- hydrodeoxygenation for NH3 production. The resultant Ru5Mo5-NC surpasses 92.8% for NH3 selectivity at the potential range from -0.25 to -0.45 V vs RHE under neutral electrolyte, particularly achieving a high NH3 selectivity of 98.3% and a corresponding yield rate of 1.3 mg h-1 mgcat-1 at -0.4 V vs RHE. This work provides a synergic strategy that sheds light on a new avenue for developing efficient multicomponent heterogeneous catalysts.

3.
Nano Lett ; 24(37): 11462-11468, 2024 Sep 18.
Artículo en Inglés | MEDLINE | ID: mdl-39239915

RESUMEN

As atomic-scale etching and deposition processes become necessary for manufacturing logic and memory devices at the sub-5 nm node, the limitations of conventional plasma technology are becoming evident. For atomic-scale processes, precise critical dimension control at the sub-1 nm scale without plasma-induced damage and high selectivity between layers are required. In this paper, a plasma with very low electron temperature is applied for damage-free processing on the atomic scale. In plasmas with an ultralow electron temperature (ULET, Te < 0.5 eV), ion energies are very low, and the ion energy distribution is narrow. The absence of physical damage in ULET plasma is verified by exposing 2D structural material. In the ULET plasma, charging damage and radiation damage are also expected to be suppressed due to the extremely low Te. This ULET plasma source overcomes the limitations of conventional plasma sources and provides insights to achieve damage-free atomic-scale processes.

4.
Nano Lett ; 24(40): 12413-12419, 2024 Oct 09.
Artículo en Inglés | MEDLINE | ID: mdl-39316641

RESUMEN

Topological insulators are materials that have an insulating bulk interior while maintaining gapless boundary states against back scattering. Bi2Se3 is a prototypical topological insulator with a Dirac-cone surface state around Γ. Here, we present a controlled methodology to gradually remove Se atoms from the surface Se-Bi-Se-Bi-Se quintuple layers, eventually forming bilayer-Bi on top of the quintuple bulk. Our method allows us to track the topological surface state and confirm its robustness throughout the surface modification. Importantly, we report a relocation of the topological Dirac cone in both real space and momentum space as the top surface layer transitions from quintuple Se-Bi-Se-Bi-Se to bilayer-Bi. Additionally, charge transfer among the different surface layers is identified. Our study provides a precise method to manipulate surface configurations, allowing for the fine-tuning of the topological surface states in Bi2Se3, which represents a significant advancement toward nanoengineering of topological states.

5.
Nano Lett ; 24(33): 10106-10113, 2024 Aug 21.
Artículo en Inglés | MEDLINE | ID: mdl-39053013

RESUMEN

Strain-free GaAs/AlGaAs semiconductor quantum dots (QDs) grown by droplet etching and nanohole infilling (DENI) are highly promising candidates for the on-demand generation of indistinguishable and entangled photon sources. The spectroscopic fingerprint and quantum optical properties of QDs are significantly influenced by their morphology. The effects of nanohole geometry and infilled material on the exciton binding energies and fine structure splitting are well-understood. However, a comprehensive understanding of GaAs/AlGaAs QD morphology remains elusive. To address this, we employ high-resolution scanning transmission electron microscopy (STEM) and reverse engineering through selective chemical etching and atomic force microscopy (AFM). Cross-sectional STEM of uncapped QDs reveals an inverted conical nanohole with Al-rich sidewalls and defect-free interfaces. Subsequent selective chemical etching and AFM measurements further reveal asymmetries in element distribution. This study enhances the understanding of DENI QD morphology and provides a fundamental three-dimensional structural model for simulating and optimizing their optoelectronic properties.

6.
Nano Lett ; 2024 Apr 01.
Artículo en Inglés | MEDLINE | ID: mdl-38557080

RESUMEN

Modern semiconductor fabrication is challenged by difficulties in overcoming physical and chemical constraints. A major challenge is the wet etching of dummy gate silicon, which involves the removal of materials inside confined spaces of a few nanometers. These chemical processes are significantly different in the nanoscale and bulk. Previously, electrical double-layer formation, bubble entrapment, poor wettability, and insoluble intermediate precipitation have been proposed. However, the exact suppression mechanisms remain unclear due to the lack of direct observation methods. Herein, we investigate limiting factors for the etching kinetics of silicon with tetramethylammonium hydroxide at the nanoscale by using liquid-phase transmission electron microscopy, three-dimensional electron tomography, and first-principles calculations. We reveal suppressed chemical reactions, unstripping phenomena, and stochastic etching behaviors that have never been observed on a macroscopic scale. We expect that solutions can be suggested from this comprehensive insight into the scale-dependent limiting factors of fabrication.

7.
Nano Lett ; 2024 Oct 07.
Artículo en Inglés | MEDLINE | ID: mdl-39373237

RESUMEN

Rhombohedral polytype transition metal dichalcogenide (TMDC) multilayers exhibit non-centrosymmetric interlayer stacking, which yields intriguing properties such as ferroelectricity, a large second-order susceptibility coefficient χ(2), giant valley coherence, and a bulk photovoltaic effect. These properties have spurred significant interest in developing phase-selective growth methods for multilayer rhombohedral TMDC films. Here, we report a confined-space, hybrid metal-organic chemical vapor deposition method that preferentially grows 3R-WS2 multilayer films with thickness up to 130 nm. We confirm the 3R stacking structure via polarization-resolved second-harmonic generation characterization and the 3-fold symmetry revealed by anisotropic H2O2 etching. The multilayer 3R WS2 shows a dendritic morphology, which is indicative of diffusion-limited growth. Multilayer regions with large, stepped terraces enable layer-resolved evaluation of the optical properties of 3R-WS2 via Raman, photoluminescence, and differential reflectance spectroscopy. These measurements confirm the interfacial quality and suggest ferroelectric modification of the exciton energies.

8.
Nano Lett ; 24(13): 3890-3897, 2024 Apr 03.
Artículo en Inglés | MEDLINE | ID: mdl-38526426

RESUMEN

Chemical reaction kinetics at the nanoscale are intertwined with heterogeneity in structure and composition. However, mapping such heterogeneity in a liquid environment is extremely challenging. Here we integrate graphene liquid cell (GLC) transmission electron microscopy and four-dimensional scanning transmission electron microscopy to image the etching dynamics of gold nanorods in the reaction media. Critical to our experiment is the small liquid thickness in a GLC that allows the collection of high-quality electron diffraction patterns at low dose conditions. Machine learning-based data-mining of the diffraction patterns maps the three-dimensional nanocrystal orientation, groups spatial domains of various species in the GLC, and identifies newly generated nanocrystallites during reaction, offering a comprehensive understanding on the reaction mechanism inside a nanoenvironment. This work opens opportunities in probing the interplay of structural properties such as phase and strain with solution-phase reaction dynamics, which is important for applications in catalysis, energy storage, and self-assembly.

9.
Nano Lett ; 24(4): 1184-1190, 2024 Jan 31.
Artículo en Inglés | MEDLINE | ID: mdl-38230641

RESUMEN

Integration of on-demand quantum emitters into photonic integrated circuits (PICs) has drawn much attention in recent years, as it promises a scalable implementation of quantum information schemes. A central property for several applications is the indistinguishability of the emitted photons. In this regard, GaAs quantum dots (QDs) obtained by droplet etching epitaxy show excellent performances, making the realization of these QDs into PICs highly appealing. Here, we show the first implementation in this direction, realizing the key passive elements needed in PICs, i.e., single-mode waveguides (WGs) with integrated GaAs-QDs and beamsplitters. We study the statistical distribution of wavelength, linewidth, and decay time of the excitonic line, as well as the quantum optical properties of individual emitters under resonant excitation. We achieve single-photon purities as high as 1 - g(2)(0) = 0.929 ± 0.009 and two-photon interference visibilities of up to VTPI = 0.953 ± 0.032 for consecutively emitted photons.

10.
Small ; 20(38): e2311546, 2024 Sep.
Artículo en Inglés | MEDLINE | ID: mdl-38766975

RESUMEN

Bacterial adhesion to stainless steel, an alloy commonly used in shared settings, numerous medical devices, and food and beverage sectors, can give rise to serious infections, ultimately leading to morbidity, mortality, and significant healthcare expenses. In this study, Cu-coated nanotextured stainless steel (nSS) fabrication have been demonstrated using electrochemical technique and its potential as an antibiotic-free biocidal surface against Gram-positive and negative bacteria. As nanotexture and Cu combine for dual methods of killing, this material should not contribute to drug-resistant bacteria as antibiotic use does. This approach involves applying a Cu coating on nanotextured stainless steel, resulting in an antibacterial activity within 30 min. Comprehensive characterization of the surface revealing that the Cu coating consists of metallic Cu and oxidized states (Cu2+ and Cu+), has been performed by this study. Cu-coated nSS induces a remarkable reduction of 97% in Gram-negative Escherichia coli and 99% Gram-positive Staphylococcus epidermidis bacteria. This material has potential to be used to create effective, scalable, and sustainable solutions to prevent bacterial infections caused by surface contamination without contributing to antibiotic resistance.


Asunto(s)
Antibacterianos , Cobre , Escherichia coli , Acero Inoxidable , Acero Inoxidable/química , Cobre/química , Cobre/farmacología , Antibacterianos/farmacología , Antibacterianos/química , Escherichia coli/efectos de los fármacos , Pruebas de Sensibilidad Microbiana , Staphylococcus epidermidis/efectos de los fármacos , Propiedades de Superficie , Materiales Biocompatibles Revestidos/química , Materiales Biocompatibles Revestidos/farmacología , Adhesión Bacteriana/efectos de los fármacos
11.
Small ; : e2405574, 2024 Oct 11.
Artículo en Inglés | MEDLINE | ID: mdl-39391961

RESUMEN

The Gate-All-Around Field-Effect Transistor (GAAFET) is proposed as a successor to Fin Field-Effect Transistor (FinFET) technology to increase channel length and improve the device performance. The GAAFET features a complex multilayer structure, which complicates the manufacturing process. One of the most critical steps in GAAFET fabrication is the selective lateral etching of the SiGe layers, essential for forming the inner-spacer. Industry commonly encounters a non-uniform etching profile during this step. In this paper, a continuous two-step dry etching model is proposed to investigate the mechanism behind the formation of the non-uniform profiles. The model consists of four modules: anisotropic etching simulation, Ge atom diffusion simulation, Si/SiGe etch selectivity calculation and SiGe selective etching simulation. By calibrating and verifying this model with experimental data, the edge rounding and gradient etching rates along the sidewall surface are successfully simulated. Based on further examination of the influence of chamber pressure on the profile using this model, the inner-spacer shape is improved experimentally by appropriately reducing the chamber pressure. This work aims to provide valuable insights for etching process recipes in advanced GAAFETs manufacturing.

12.
Small ; 20(35): e2400499, 2024 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-38644330

RESUMEN

Sculpting silicon at the micro and nano scales has been game-changing to mold bulk silicon properties and expand, in turn, applications of silicon beyond electronics, namely, in photonics, sensing, medicine, and mechanics, to cite a few. Voltage- and metal-assisted chemical etching (ECE and MaCE, respectively) of silicon in acidic electrolytes have emerged over other micro and nanostructuring technologies thanks to their unique etching features. ECE and MaCE have enabled the fabrication of novel structures and devices not achievable otherwise, complementing those feasible with the deep reactive ion etching (DRIE) technology, the gold standard in silicon machining. Here, a comprehensive review of ECE and MaCE for silicon micro and nano machining is provided. The chemistry and physics ruling the dissolution of silicon are dissected and similarities and differences between ECE and MaCE are discussed showing that they are the two sides of the same coin. The processes governing the anisotropic etching of designed silicon micro and nanostructures are analyzed, and the modulation of etching profile over depth is discussed. The preparation of micro- and nanostructures with tailored optical, mechanical, and thermo(electrical) properties is then addressed, and their applications in photonics, (bio)sensing, (nano)medicine, and micromechanical systems are surveyed. Eventually, ECE and MaCE are benchmarked against DRIE, and future perspectives are highlighted.

13.
Small ; 20(20): e2309078, 2024 May.
Artículo en Inglés | MEDLINE | ID: mdl-38105404

RESUMEN

Electrocatalytic hydrogen evolution from seawater through wind or solar energy is a cost-effective way to produce green hydrogen fuel. However, the lack of highly active and anti-corrosive electrocatalysts in seawater severely hinders the industrial application. Herein, a novel Ni1.1FeCr0.4V0.3Ti0.3 high-entropy alloy (HEA) is designed through high throughput computing and prepared via powder metallurgy with the surface treated by laser etching under different laser power. The laser-etched NiFeCrVTi high-entropy alloys exhibit a unique periodically ordered structure with multiple active centers and high porosity. The Ni-HEA-30 displays remarkable hydrogen evolution reaction (HER) performance with an overpotential of 55.9 mV and a Tafel slope of 47.3 mV dec-1 in seawater. Density functional theory (DFT) calculations are applied to identify the real active sites for HER on the HEA surface as the key factor for both proton and intermediate transformation, which also reveals that the Cr atom promotes the adsorption energy of water molecules, and the modulation of the electronic structure plays a crucial role in optimizing the hydrogen binding capabilities of the Ni atoms within the alloy. Additionally, the electrocatalyst displays high corrosion resistance in seawater, contributing to the good durability for hydrogen production. This work uncovers a new paradigm to develop novel electrocatalysts with superior reaction activity in seawater.

14.
Small ; : e2402543, 2024 Jul 30.
Artículo en Inglés | MEDLINE | ID: mdl-39077961

RESUMEN

Area-selective deposition (ASD) based on self-aligned technology has emerged as a promising solution for resolving misalignment issues during ultrafine patterning processes. Despite its potential, the problems of area-selectivity losing beyond a certain thickness remain critical in ASD applications. This study reports a novel approach to sustain the area-selectivity of Ir films as the thickness increases. Ir films are deposited on Al2O3 as the growth area and SiO2 as the non-growth area using atomic-layer-deposition with tricarbonyl-(1,2,3-η)-1,2,3-tri(tert-butyl)-cyclopropenyl-iridium and O3. O3 exhibits a dual effect, facilitating both deposition and etching. In the steady-state growth regime, O3 solely contributes to deposition, whereas in the initial growth stages, longer exposure to O3 etches the initially formed isolated Ir nuclei through the formation of volatile IrO3. Importantly, longer O3 exposure is required for the initial etching on the growth area(Al2O3) compared to the non-growth area(SiO2). By controlling the O3 injection time, the area selectivity is sustained even above a thickness of 25 nm by suppressing nucleation on the non-growth area. These findings shed light on the fundamental mechanisms of ASD using O3 and offer a promising avenue for advancing thin-film technologies. Furthermore, this approach holds promise for extending ASD to other metals susceptible to forming volatile species.

15.
Small ; : e2404819, 2024 Sep 27.
Artículo en Inglés | MEDLINE | ID: mdl-39328091

RESUMEN

Droplet generators with the ability to resist flow fluctuations are of importance for microfluidic chip analysis systems. However, obtaining stably desired-size droplets is still a bugbear since even slight fluctuations can cause polydisperse droplets. In this study, a high-performance droplet generator is achieved with a functional conical array housed in the junction of the channels. The conical microstructures are fabricated through the selective etching of the scratched silicon nitride/silicon (Si3N4/Si) substrate in potassium hydroxide (KOH) etchant, where the combination of lateral and normal material removal contributes to the structure formation. It is found that the key role of the conical microstructures is to regulate the flow rate of the continuous phase, which allows droplet generation to turn to the necking phase and enables droplets to shed more easily. It is also noted that the droplet generator with such a conical array can produce monodisperse droplets in wide-range flow, providing new insights for high-quality device design.

16.
Small ; 20(16): e2308225, 2024 Apr.
Artículo en Inglés | MEDLINE | ID: mdl-38054781

RESUMEN

MXenes, an exceptional class of 2D materials, possess high conductivity, adaptable surface chemistry, mechanical strength, and tunable bandgaps, making them attractive for diverse applications. Unlocking the potential of MXenes requires precise control over synthesis methods and surface functionality. Conventionally, fluorine-based etchants are used in MXenes synthesis, posing both environmental concerns and alterations to surface properties, along with the introduction of certain defects. This prompts the exploration of innovative fluorine-free strategies for MXenes synthesis. This review focuses on environmentally friendly, fluorine-free techniques for MXene synthesis, emphasizing mechanisms and recent breakthroughs in alternative etching strategies. The comprehensive coverage includes electrochemical etching, Lewis acid-driven molten salt etching, alkaline/hydrothermal techniques, chemical vapor deposition (CVD), and recent innovative methods. Fluorine-free MXenes synthesis yields terminations such as ─O, ─OH, ─Cl, etc., influencing surface chemistry and improving their properties. The presence of ─OH groups in NaOH etched MXenes boosts their energy storage, while ─Cl functionality from Lewis acidic salts optimizes electrochemical performance. Fluorine-free methods mitigate adverse effects of ─F terminations on MXene conductivity, improving electronic properties and broadening their applications. In addition to traditional approaches, this review delves into novel fluorine-free methods for tailoring MXenes properties. It comprehensively addresses challenges, opportunities, and future perspectives in fluorine-free MXenes.

17.
Small ; 20(2): e2305321, 2024 Jan.
Artículo en Inglés | MEDLINE | ID: mdl-37658493

RESUMEN

2D MXene-Ti3 C2 Tx holds great promise in various electronic applications, especially for electromagnetic interference (EMI) shielding devices and supercapacitors. Ti3 C2 Tx synthesis typically involves the use of hazardous fluorine-containing chemicals that can result in the formation of inert fluoride functional groups on the surface of Ti3 C2 Tx , severely degrading its properties and posing a threat to the performance of electron transfer among electrical devices. Herein, a supercritical carbon dioxide-based ternary solution (scCO2 /DMSO/HCl) to produce fluoride-free Ti3 C2 Tx in mild conditions (via 0.5 m HCl, 20 MPa, 32 °C) is reported. The fluorine-free Ti3 C2 Tx films electrode presents an excellent gravimetric capacitance of 320 F g-1 at 2 mV s-1 in 1 m H2 SO4 . Besides, it is demonstrated that fluorine-free Ti3 C2 Tx films exhibit outstanding EMI shielding efficiency of 53.12 dB at 2.5 µm thickness. The findings offer a mild and practical approach to producing fluoride-free Ti3 C2 Tx and open opportunities for exploring MXenes' potential applications in various fields.

18.
Small ; : e2403732, 2024 Jul 04.
Artículo en Inglés | MEDLINE | ID: mdl-38963164

RESUMEN

The construction of novel structured Prussian blue analogs (PBAs) by chemical etching has attracted the most attention to PBA derivatives with outstanding performance. In this work, the unprecedented PBA orthogonal frustums are first prepared from nanocubes through a selective chemical etching approach using trisodium citrate as an etchant. The citrate ions can chelate with nickel species from the edges/corners of NiCo-PBA nanocubes and then disintegrate NiCo-PBAs resulting in the generation of NiCo-PBA orthogonal frustums. The derived CoNi2S4/Co0.91S composites still inherit the original orthogonal frustum structure and possess outstanding supercapacitor performance. This study develops a popularized method to construct novel structured PBAs and brings inspiration for designing PBA-based electrodes with advanced electrochemical performance.

19.
Small ; 20(27): e2309932, 2024 Jul.
Artículo en Inglés | MEDLINE | ID: mdl-38295134

RESUMEN

Recently, zeolitic imidazolate frameworks (ZIFs) composites have emerged as promising precursors for synthesizing hollow-structured N-doped carbon-based noble-metal materials with diverse structures and compositions. Here, a strong/weak competitive coordination strategy is presented for synthesizing high-performance electrocatalysts with hollow features. During the competitive coordination process, the cubic zeolitic-imidazole framework-8 (Cube-8)@ZIF-67 with core-shell structures are transformed into Cube-8@ZIF-67@PF/POM with yolk-shell nanostructures employing phosphomolybdic acid (POM) and potassium ferricyanide (PF) as the strong chelator and the weak chelator, respectively. After calcination, the hollow Mo/Fe/Co@NC catalyst exhibits superior performance in both oxygen evolution reaction (OER) and oxygen reduction reaction (ORR). Interestingly, the Mo/Fe/Co@NC catalyst exhibits efficient electrocatalytic performance for Zn-air batteries (ZABs), with a high power density (≈150 mW cm-2) and superior cycling life (≈500 h) compared to commercial platinum/carbon (Pt/C) and ruthenium dioxide (RuO2) mixture benchmarks catalysts. In addition, the density functional theory further proves that after the introduction of Mo and Fe atoms, the adsorption energy with the adsorption intermediates is weakened by adjusting the d-band center, thus weakening the reaction barrier and promoting the reaction kinetics of OER. Undoubtedly, this study presents novel insights into the fabrication of ZIFs-derived hollow structure bifunctional oxygen electrocatalysts for clean-energy diverse applications.

20.
Small ; : e2403581, 2024 Jul 19.
Artículo en Inglés | MEDLINE | ID: mdl-39030883

RESUMEN

This work pioneers to combine fast self-assembly of polyhedral oligomeric silsesquioxanes (POSS) nanocage-based giant surfactants with high etching contrast and directed self-assembly for reliable long-range lateral order to create well-aligned sub-10 nm line nanopatterns via reactive ion etching (RIE). Polystyrene-block-oligo(dimethylsiloxane) substituted POSS (PS-b-oDMS7POSS) with seven oligo(dimethylsiloxane) at the corners of the POSS nanocage and one polystyrene (PS) tail is designed and synthesized as a giant surfactant with self-assembly behaviors like block copolymer (BCP). In contrast to BCP, oDMS7POSS gives a volume-persistent "nanoatom" particle with higher mobility for fast self-assembly and higher segregation strength with PS for smaller feature size. By taking advantage of directed self-assembly using nano-trench fabricated by electron beam lithography, well-ordered nanostructured monolayer with well-aligned parallel oDMS7POSS cylinders can be formed by confined self-assembly within the nano-trench. With the optimization of the RIE treatment using O2 as an etchant, the high etching contrast from the oDMS7POSS and PS gives the formation of well-defined line nanopatterns with sub-10 nm critical dimension that can serve as a mask for pattern transfer in lithography. These results demonstrate a cost-effective approach for nanopatterning by utilizing a creatively designed giant surfactant with sub-10 nm feature size and excellent etching contrast for modern lithographic applications.

SELECCIÓN DE REFERENCIAS
Detalles de la búsqueda