Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 19 de 19
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Nanotechnology ; 34(20)2023 Mar 06.
Artigo em Inglês | MEDLINE | ID: mdl-36709513

RESUMO

Polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) is one of the most widely studied block copolymers for direct self-assembly because of its excellent compatibility with traditional processes. However, pattern transfer of PS-b-PMMA block copolymers (BCPs) remains a great challenge for its applications due to the insufficient etching resolution. In this study, the effect of ionic liquid 1-hexyl-3-methylimidazolium hexafluorophosphate (HMHF) additives on the line edge roughness (LER) performances of PS-b-PMMA self-assembled patterns was studied. Trace addition of HMHF kept the photolithography compatibility of PS-b-PMMA block copolymer films, but obviously increased their Flory-Huggins interaction parameter (χ) and enabled phase separation of disordered low molecular weight BCPs. LER value was effectively decreased by blending HMHF directly with PS-b-PMMA or from a supplying top layer of polyvinylpyrrolidone containing HMHF additives. This study shows an excellent strategy to improve the deficiencies of existing block copolymers.

2.
Nano Lett ; 17(12): 7717-7723, 2017 12 13.
Artigo em Inglês | MEDLINE | ID: mdl-29172538

RESUMO

The kinetics of directed self-assembly of symmetric PS-b-PMMA diblock copolymer on chemically patterned templates were measured during in situ thermal annealing. Although these chemical guide patterns lead to well-aligned, defect-free lamellar patterns at thermodynamic equilibrium, in practice, challenges remain in understanding and optimizing the kinetic evolution for technological applications. High-speed, environmentally controlled atomic force microscopy imaging was used to track pattern evolution on the time scale of individual microdomain connections in real space and time, allowing the direct visualization of defect healing mechanisms. When we apply this highly general technique to films on chemically patterned substrates, we find that pattern alignment is mediated by a metastable nonbulk morphology unique to these samples, referred to as the "stitch" morphology. We observe diverse and anisotropic mechanisms for the conversion from this morphology to equilibrium lamellar stripes. Directed self-assembly on chemical templates is observed to follow exponential kinetics with an apparent energetic barrier of 360 ± 80 kJ/mol from 210-230 °C, a significant enhancement when compared with ordering rates on unpatterned substrates. Ultimately, from local imaging, we find that the presence of a chemical guiding field causes morphological ordering and lamellar alignment to occur irreversibly.

3.
ACS Appl Mater Interfaces ; 15(50): 57928-57940, 2023 Dec 20.
Artigo em Inglês | MEDLINE | ID: mdl-37314734

RESUMO

In this work, block copolymer lithography and ultralow energy ion implantation are combined to obtain nanovolumes with high concentrations of phosphorus atoms periodically disposed over a macroscopic area in a p-type silicon substrate. The high dose of implanted dopants grants a local amorphization of the silicon substrate. In this condition, phosphorus is activated by solid phase epitaxial regrowth (SPER) of the implanted region with a relatively low temperature thermal treatment preventing diffusion of phosphorus atoms and preserving their spatial localization. Surface morphology of the sample (AFM, SEM), crystallinity of the silicon substrate (UV Raman), and position of the phosphorus atoms (STEM- EDX, ToF-SIMS) are monitored during the process. Electrostatic potential (KPFM) and the conductivity (C-AFM) maps of the sample surface upon dopant activation are compatible with simulated I-V characteristics, suggesting the presence of an array of not ideal but working p-n nanojunctions. The proposed approach paves the way for further investigations on the possibility to modulate the dopant distribution within a silicon substrate at the nanoscale by changing the characteristic dimension of the self-assembled BCP film.

4.
ACS Nano ; 17(6): 5644-5652, 2023 Mar 28.
Artigo em Inglês | MEDLINE | ID: mdl-36912602

RESUMO

As a platform for investigating two-dimensional phase separation, we track the structural evolution of block copolymer thin films during thermal annealing with environmentally controlled atomic force microscopy (AFM). Upon thermal annealing, block copolymer films with incommensurate thickness separate into a terraced morphology decorated with holes. With in situ imaging at 200 °C, we follow the continuous progression of terrace formation in a single region of a cylinder-forming poly(styrene-block-methyl methacrylate) thin film, beginning with the disordered morphology on an unpatterned silicon substrate and continuing through nucleation and coarsening stages. Topographic AFM imaging with nanoscale resolution simultaneously captures ensemble hole growth statistics while locally tracking polymer diffusion through measurements of the film thickness. At early times, we observe homogeneous hole nucleation and isotropic growth, with kinetics following the predictions of classical nucleation theory. At later times, however, we find anomalous hole growth which arises due to the combination of Ostwald ripening and coalescence mechanisms. In each case, our real-space observations highlight the importance of hole interactions for determining coarsening kinetics, mediated either through the interconnected phase for Ostwald ripening or through binary collision events for coalescence.

5.
Spectrochim Acta A Mol Biomol Spectrosc ; 274: 121095, 2022 Jun 05.
Artigo em Inglês | MEDLINE | ID: mdl-35279517

RESUMO

A non-invasive, image-based analytic method utilizing scattering-type scanning near-field optical microscopy (s-SNOM) is suggested to evaluate the phase separation behavior of lamella-forming polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer films. Taking advantage of the penetrability of the tip-enhanced IR signal into the films, the spatio-spectral maps of each component are constructed. Subsequently, the effect of a sole and combinatorial applications of the self-assembly procedures, such as solvent vapor annealing (SVA) and/or thermal annealing (TA), on the spatial distribution of PS or PMMA components is quantitatively assessed in terms of the areal portions of the PS domain, PMMA domain, and the mixed zone that is adjacent to the domain border. Additionally, by statistically comparing the local concentration profiles, the chemical contrast between the domains turns out to be dependent upon the annealing procedures (namely, SVA and SVA + TA). This technique can pave the way to an uncomplicated but precise investigation of the polymer nanostructure-based thin film devices whose performances are critically governed by the spatial arrangement of the chemical elements.

6.
Polymers (Basel) ; 12(4)2020 Apr 10.
Artigo em Inglês | MEDLINE | ID: mdl-32290129

RESUMO

In this systematic review, a total of 45,143 publications on block copolymers, issued between 1952 and 2019, are analyzed in terms of number, source, language, institution, country, keywords, and block copolymer type, to find out their evolution and predict research trends. The number of publications devoted to block copolymers has been growing for over six decades, maintaining a consistent level throughout the last few years. In their majority, documents came out of the United States, although more recently, Chinese institutions are those displaying the largest production. Keywords analysis indicated that one-third of the publications concerned synthesis, around 20% explored self-assembly and morphological aspects, and another 20% referred to block copolymer applications in solution. In particular, 2019 confirmed the expansion of studies related to drug delivery, and in minor extent, to a deeper view of self-assembling. Styrene-butadiene-styrene block copolymer was the most popular in studies covering both basic and industrially oriented aspects. Other highly investigated copolymers are PEO-b-PPO-b-PEO (Pluronic©) and amphiphilic block copolymers based on polycaprolactone or poly(lactic acid), which owed their success to their potential as delivery vehicles. Future trending topics will concern nanomedicine challenges and technology-related applications, with a special attention toward the orientation and ordering of mesophase-separated morphologies.

7.
Nanomaterials (Basel) ; 10(12)2020 Dec 07.
Artigo em Inglês | MEDLINE | ID: mdl-33297348

RESUMO

This work reports a novel, simple, and resist-free chemo-epitaxy process permitting the directed self-assembly (DSA) of lamella polystyrene-block-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) on a 300 mm wafer. 193i lithography is used to manufacture topographical guiding silicon oxide line/space patterns. The critical dimension (CD) of the silicon oxide line obtained can be easily trimmed by means of wet or dry etching: it allows a good control of the CD that permits finely tuning the guideline and the background dimensions. The chemical pattern that permits the DSA of the BCP is formed by a polystyrene (PS) guide and brush layers obtained with the grafting of the neutral layer polystyrene-random-polymethylmethacrylate (PS-r-PMMA). Moreover, data regarding the line edge roughness (LER) and line width roughness (LWR) are discussed with reference to the literature and to the stringent requirements of semiconductor technology.

8.
ACS Appl Mater Interfaces ; 9(12): 11054-11063, 2017 Mar 29.
Artigo em Inglês | MEDLINE | ID: mdl-28263052

RESUMO

The morphological evolution of cylinder-forming poly(styrene)-b-poly(methyl methacrylate) block copolymer (BCP) thick films treated at high temperatures in the rapid thermal processing (RTP) machine was monitored by means of in-depth grazing-incidence small-angle X-ray scattering (GISAXS). The use of this nondisruptive technique allowed one to reveal the formation of buried layers composed of both parallel- and perpendicular-oriented cylinders as a function of the film thickness (24 ≤ h ≤ 840 nm) and annealing time (0 ≤ t ≤ 900 s). Three distinct behaviors were observed depending on the film thickness. Up to h ≤ 160 nm, a homogeneous film consisting of perpendicular-oriented cylinders is observed. When h is between 160 and 700 nm, a decoupling process between both the air-BCP and substrate-BCP interfaces takes place, leading to the formation of mixed orientations (parallel and perpendicular) of the cylinders. Finally, for h > 700 nm, the two interfaces are completely decoupled, and the formation of a superficial layer of about 50 nm composed of perpendicular cylinders is observed. Furthermore, the through-film morphology affects the nanodomain long-range order, which substantially decreases in correspondence with the beginning of the decoupling process. When the thick samples are exposed to longer thermal treatments, an increase in the long-range order of the nanodomains occurs, without any sensible variation of the thickness of the superficial layer.

9.
ACS Appl Mater Interfaces ; 9(37): 31245-31251, 2017 Sep 20.
Artigo em Inglês | MEDLINE | ID: mdl-28218827

RESUMO

To apply well-defined block copolymer nanopatterns to next-generation lithography or high-density storage devices, small line edge roughness (LER) of nanopatterns should be realized. Although polystyrene-block-poly(methyl methacrylate) copolymer (PS-b-PMMA) has been widely used to fabricate nanopatterns because of easy perpendicular orientation of the block copolymer nanodomains and effective removal of PMMA block by dry etching, the fabricated nanopatterns show poorer line edge roughness (LER) due to relatively small Flory-Huggins interaction parameter (χ) between PS and PMMA chains. Here, we synthesized PS-b-PMMA with urea (U) and N-(4-aminomethyl-benzyl)-4-hydroxymethyl-benzamide (BA) moieties at junction of PS and PMMA chains (PS-U-BA-PMMA) to improve the LER. The U-BA moieties serves as favorable interaction (hydrogen bonding) sites. The LER of PS line patterns obtained from PS-U-BA-PMMA was reduced ∼25% compared with that obtained from neat PS-b-PMMA without BA and U moieties. This is attributed to narrower interfacial width induced by hydrogen bonding between two blocks, which is confirmed by small-angle X-ray scattering. This result implies that the introduction of hydrogen bonding into block copolymer interfaces offers an opportunity to fabricate well-defined nanopatterns with improved LER by block copolymer self-assembly, which could be a promising alternative to next-generation extreme ultraviolet lithography.

10.
ACS Nano ; 11(8): 7666-7673, 2017 08 22.
Artigo em Inglês | MEDLINE | ID: mdl-28714668

RESUMO

Directed self-assembly (DSA) of block copolymer (BCP) thin films, especially with density multiplication, is one of the most promising options for further improving resolution and throughput in nanolithography. However, controlling defect density has been one of the major hurdles for many DSA applications. Both thermodynamically and kinetically, defect-free patterns favor the use of low density multiplication factors and thinner films, which undermine the promise of enhanced resolution and the formation of robust masks for pattern transfer. Here, we demonstrate a self-registered self-assembly method to enable nearly perfect DSA on loosely defined chemical patterns with high density multiplication factor. Self-registered self-assembly involves two DSA steps. In the first step, an ultrathin BCP blend film is used to obtain vanishingly low defect densities. Concurrently as the film is annealed, preloaded chemical markers separate into the different polymer blocks and graft to the substrate locking in a new chemical contrast pattern with 1:1 feature registration. After thorough removal of the blend film, the remaining self-registered chemical pattern can establish defect-free DSA of thick BCP films.

11.
ACS Appl Mater Interfaces ; 9(18): 15685-15697, 2017 May 10.
Artigo em Inglês | MEDLINE | ID: mdl-28397488

RESUMO

The self-assembly (SA) of diblock copolymers (DBCs) based on phase separation into different morphologies of small and high-density features is widely investigated as a patterning and nanofabrication technique. The integration of conventional top-down approaches with the bottom-up SA of DBCs enables the possibility to address the gap in nanostructured lateral length standards for nanometrology, consequently supporting miniaturization processes in device fabrication. On this topic, we studied the pattern characteristic dimensions (i.e., center-to-center distance L0 and diameter D) of a cylinder-forming polystyrene-b-poly( methyl methacrylate) PS-b-PMMA (54 kg mol-1, styrene fraction 70%) DBC when confined within periodic SiO2 trenches of different widths (W, ranging between 75 and 600 nm) and fixed length (l, 5.7 µm). The characteristic dimensions of the PMMA cylinder structure in the confined configurations were compared with those obtained on a flat surface (L0 = 27.8 ± 0.5 nm, D = 13.0 ± 1.0 nm). The analysis of D as a function of W evolution indicates that the eccentricity of the PMMA cylinders decreases as a result of the deformation of the cylinder in the direction perpendicular to the trenches. The center-to-center distance in the direction parallel to the long side of the trenches (L0l) is equal to L0 measured on the flat surface, whereas the one along the short side (L0w) is subjected to an appreciable variation (ΔL0w = 5 nm) depending on W. The possibility of finely tuning L0w maintaining constant L0l paves the way to the realization of a DBC-based transfer standard for lateral length calibration with periods in the critical range between 20 and 50 nm wherein no commercial transfer standards are available. A prototype transfer standard with cylindrical holes was used to calibrate the linear correction factor c(Δx')xx' of an atomic force microscope for a scan length of Δx' = 1 µm. The relative standard uncertainty of the correction factor was only 1.3%, and the second-order nonlinear correction was found to be significant.

12.
ACS Appl Mater Interfaces ; 8(49): 33933-33942, 2016 Dec 14.
Artigo em Inglês | MEDLINE | ID: mdl-27960442

RESUMO

Sequential infiltration synthesis (SIS) provides an original strategy to grow inorganic materials by infiltrating gaseous precursors in polymeric films. Combined with microphase-separated nanostructures resulting from block copolymer (BCP) self-assembly, SIS selectively binds the precursors to only one domain, mimicking the morphology of the original BCP template. This methodology represents a smart solution for the fabrication of inorganic nanostructures starting from self-assembled BCP thin films, in view of advanced lithographic application and of functional nanostructure synthesis. The SIS process using trimethylaluminum (TMA) and H2O precursors in self-assembled PS-b-PMMA BCP thin films was established as a model system, where the PMMA phase is selectively infiltrated. However, the temperature range allowed by polymeric material restricts the available precursors to highly reactive reagents, such as TMA. In order to extend the SIS methodology and access a wide library of materials, a crucial step is the implementation of processes using reactive reagents that are fully compatible with the initial polymeric template. This work reports a comprehensive morphological (SEM, SE, AFM) and physicochemical (XPS) investigation of alumina nanostructures synthesized by means of a SIS process using O3 as oxygen precursor in self-assembled PS-b-PMMA thin films with lamellar morphology. The comparison with the H2O-based SIS process validates the possibility to use O3 as oxygen precursor, expanding the possible range of precursors for the fabrication of inorganic nanostructures.

13.
ACS Appl Mater Interfaces ; 8(12): 8280-8, 2016 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-26959626

RESUMO

The self-assembly of block copolymer (BCP) thin films produces dense and ordered nanostructures. Their exploitation as templates for nanolithography requires the capability to control the lateral order of the nanodomains. Among a multiplicity of polymers, the widely studied all-organic polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) BCP can easily form nanodomains perpendicularly oriented with respect to the substrate, since the weakly unbalanced surface interactions are effectively neutralized by grafting to the substrate an appropriate poly(styrene-random-methyl methacrylate) P(S-r-MMA) random copolymer (RCP). This benefit along with the selective etching of the PMMA component and the chemical similarity with the standard photoresist materials deserved for PS-b-PMMA the role of BCP of choice for the technological implementation in nanolithography. This work demonstrates that the synergic effect of thermal annealing with the initial solvent naturally trapped in the basic RCP + BCP system after the deposition process can be exploited to enhance the lateral order. The solvent content embedded in the total RCP + BCP system can be tuned by changing the molecular weight and thus the thickness of the grafted RCP brush layer, without introducing external reservoirs or dedicated setup and/or systems. The appropriate supply of solvent supports a grain coarsening kinetics following a power law with a 1/3 growth exponent for standing hexagonally ordered cylinders.

14.
J Chromatogr A ; 1475: 41-54, 2016 Dec 02.
Artigo em Inglês | MEDLINE | ID: mdl-27838000

RESUMO

A fundamental study of the separation of homopolymers from polystyrene-block-polymethylmethacrylate (PS-b-PMMA) by liquid chromatography with preloaded discrete and continuous adsorption promoting barriers was performed. The impact of barrier composition on the separation of block copolymers (BCP) was studied by a dual detection (ultraviolet (UV) and evaporated light scattering (ELSD) detectors) system that enabled monitoring both barrier composition and BCP separation simultaneously. The separation of homopolymers from BCP by preloaded discrete adsorption promoting barriers was validated via a series of control experiments by blending known amounts of homopolymers PS or PMMA with PS-b-PMMA, and the resulting chromatograms were free from co-elution of homopolymers and BCP. Quantitation of homopolymers and BCP by ELSD was also demonstrated. The influence of BCP chemical composition on the separation by preloaded discrete adsorption promoting barriers was investigated. Results showed a PS-b-PMMA having 90wt% PMMA co-eluted with homopolymer PMMA, whereas PS-b-PMMA samples having lower amounts of PMMA block could be separated from homopolymer PMMA, successfully. Attempts at using a preloaded solvent gradient for separating homopolymers from block copolymers were unsuccessful. UV detection of the solvent gradient revealed significant deviation in solvent composition compared to the nominally loaded gradient. This deviation was due to the interaction of strong desorption solvent with column stationary phase. As such, the barrier composition in the preloaded gradient method was not as expected. Therefore, one can obtain undesired separation results by preloaded solvent gradients.


Assuntos
Cromatografia Líquida de Alta Pressão , Metacrilatos/isolamento & purificação , Poliestirenos/isolamento & purificação , Adsorção , Metacrilatos/química , Poliestirenos/química , Solventes/química
15.
ACS Appl Mater Interfaces ; 7(20): 10944-51, 2015 May 27.
Artigo em Inglês | MEDLINE | ID: mdl-25954979

RESUMO

Hydroxyl-terminated P(S-r-MMA) random copolymers (RCPs) with molecular weights (Mn) from 1700 to 69000 and a styrene unit fraction of approximately 61% were grafted onto a silicon oxide surface and subsequently used to study the orientation of nanodomains with respect to the substrate, in cylinder-forming PS-b-PMMA block copolymer (BCP) thin films. When the thickness (H) of the grafted layer is greater than 5-6 nm, a perpendicular orientation is always observed because of the efficient decoupling of the BCP film from the polar SiO2 surface. Conversely, if H is less than 5 nm, the critical thickness of the grafted layer, which allows the neutralization of the substrate and promotion of the perpendicular orientation of the nanodomains in the BCP film, is found to depend on the Mn of the RCP. In particular, when Mn = 1700, a 2.0 nm thick grafted layer is sufficient to promote the perpendicular orientation of the PMMA cylinders in the PS-b-PMMA BCP film. A proximity shielding mechanism of the BCP molecules from the polar substrate surface, driven by chain stretching of the grafted RCP molecules, is proposed.

16.
ACS Appl Mater Interfaces ; 7(42): 23615-22, 2015 Oct 28.
Artigo em Inglês | MEDLINE | ID: mdl-26439144

RESUMO

The ordering process of asymmetric PS-b-PMMA block copolymers (BCPs) is investigated on flat SiO2 surfaces and on topographically patterned substrates. The topographic patterns consist of periodic gratings of 10 trenches defined by conventional top-down approaches and subsequently neutralized using a P(S-r-MMA) random copolymer (RCP). When the ordering process is accomplished on a flat surface at a temperature ranging between 180 and 230 °C, cylindrical microdomains perpendicularly oriented with respect to the substrate are observed irrespective of annealing temperature. In contrast, when the ordering process occurs on topographically patterned substrates, different phenomena have to be considered. The simultaneous effect of the flow around the gratings and the BCP flux from the zone located between adjacent trenches (mesa) into the inner part of the trenches results in significant thickness variations of the confined BCP film. Therefore, the amount of BCP inside the trenches depends on the width of the mesa region, which acts as a BCP reservoir. Moreover, within each trench group, the BCP thickness progressively decreases from the external to the central trenches composing the periodic grating. The thickness variation of the BCP film within the trenches strongly affects the ordering process, ultimately leading to different orientations of the microdomains within the trenches. In particular, when the annealing temperature is 190 °C a precise confinement of the BCP within the trenches featuring a perpendicular cylinder morphology is observed. At higher temperatures, mixed or parallel orientations of the microdomains are obtained depending on the width of the trenches in the periodic grating.

17.
ACS Appl Mater Interfaces ; 7(7): 3920-30, 2015 Feb 25.
Artigo em Inglês | MEDLINE | ID: mdl-25664773

RESUMO

Two strategies are envisioned to improve the thermal stability of the grafted layer and to allow the processing of the random copolymer/block copolymer (RCP/BCP) system at high temperature. From one side, a high-temperature thermal treatment of a commercial α-hydroxyl ω-2,2,6,6-tetramethylpiperidinyloxy functional RCP, namely, TR58, leads to the formation of a stabilized layer able to induce the perpendicular orientation of a symmetric BCP to temperatures higher than 310 °C. On the other side, an α-hydroxyl ω-Br functional RCP, namely, BrR58, with the same molar mass and composition of TR58, was prepared by activator regenerated by electron transfer atom transfer radical polymerization. The resulting brush layer can sustain the self-assembly of the symmetric BCP for processing temperatures as high as 330 °C. In both systems, the disruption of the BCP film, deposited on the grafted RCP layer, occurs because of the formation of bubbles, due to a low-temperature evolution of monomers from the RCP layer. The extent of the low-temperature monomer evolution is higher for TR58 than it is for BrR58 and starts at lower temperatures. For both copolymers, the thermal treatment offsets the low-temperature monomer evolution while still maintaining surface characteristics suitable to induce the perpendicular orientation of the BCPs, thus ultimately extending the range of processing temperatures of the BCP film and consequently speeding the self-organization process.

18.
ACS Nano ; 9(7): 7506-14, 2015 Jul 28.
Artigo em Inglês | MEDLINE | ID: mdl-26046475

RESUMO

We explore the lithographic limits of lamellae-forming PS-b-PMMA block copolymers by performing directed self-assembly and pattern transfer on a range of PS-b-PMMA materials having a full pitch from 27 to 18.5 nm. While directed self-assembly on chemical contrast patterns was successful with all the materials used in this study, clean removal of PMMA domains and subsequent pattern transfer could only be sustained down to 22 nm full pitch. We attribute this limitation to the width of the interface, which may represent more than half of the domain width for materials with a critical dimension below 10 nm. With the limit of pattern transfer for PS-b-PMMA set at ∼11 nm, we propose an integration scheme suitable for bit patterned media for densities above 1.6 Tdot/in(2), which require features below this limit. Directed self-assembly was carried out on chemical contrast patterns made by a rotary e-beam lithography system, and pattern transfer was carried out to demonstrate fabrication of large area (up to 25 mm-wide annular band of circular tracks) nanoimprint templates for bit patterned media. We also demonstrate compatibility with hard disk drive architecture by fabricating patterns with skewed radial lines with constant angular pitch and with servo patterns that are needed in hard disk drives to generate a radial positional error signal (PES).

19.
ACS Appl Mater Interfaces ; 6(23): 21389-96, 2014 Dec 10.
Artigo em Inglês | MEDLINE | ID: mdl-25387131

RESUMO

The control of the self-assembly (SA) process and nanostructure orientation in diblock copolymer (DBC) thick films is a crucial technological issue. Perpendicular orientation of the nanostructures in symmetric and asymmetric poly(styrene)-b-poly(methyl methacrylate) (PS-b-PMMA) block copolymer films obtained by means of simple thermal treatments was demonstrated to occur in well-defined thickness windows featuring modest maximum values, thus resulting in low aspect ratio (h/d < 2) of the final lithographic mask. In this manuscript, the thickness window corresponding to the perpendicular orientation of the cylindrical structures in asymmetric DBC is investigated at high temperatures (190 °C ≤ T ≤ 310 °C) using a rapid thermal processing machine. A systematic study of the annealing conditions (temperature and time) of asymmetric PS-b-PMMA (Mn = 67.1, polydispersity index = 1.09) films, with thicknesses ranging from 10 to 400 nm, allowed ordered patterns, with a maximum value of orientational correlation length of 350 nm, to be obtained for film thicknesses up to 200 nm. The complete propagation of the cylindrical structures through the whole film thickness in a high aspect ratio PS template (h/d ≈ 7) is probed by lift-off process. Si nanopillars are obtained having the same lateral ordering and characteristic dimensions of the DBC lithographic mask as further confirmed by grazing-incidence small-angle X-ray scattering experiments.

SELEÇÃO DE REFERÊNCIAS
Detalhe da pesquisa