Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 169
Filtrar
1.
Nano Lett ; 24(19): 5783-5790, 2024 May 15.
Artigo em Inglês | MEDLINE | ID: mdl-38695397

RESUMO

Nanoimprint lithography is gaining popularity as a cost-efficient way to reproduce nanostructures in large quantities. Recent advances in nanoimprinting lithography using high-index nanoparticles have demonstrated replication of photonic devices, but it is difficult to confer special properties on nanostructures beyond general metasurfaces. Here, we introduce a novel method for fabricating light-emitting metasurfaces using nanoimprinting lithography. By utilizing quantum dots embedded in resin, we successfully imprint dielectric metasurfaces that function simultaneously as both emitters and resonators. This approach to incorporating quantum dots into metasurfaces demonstrates an improvement in photoluminescence characteristics compared to the situation where quantum dots and metasurfaces are independently incorporated. Design of the metasurface is specifically tailored to support photonic modes within the emission band of quantum dots with a large enhancement of photoluminescence. This study indicates that nanoimprinting lithography has the capability to construct nanostructures using functionalized nanoparticles and could be used in various fields of nanophotonic applications.

2.
Sensors (Basel) ; 24(14)2024 Jul 16.
Artigo em Inglês | MEDLINE | ID: mdl-39065997

RESUMO

The development of a cost-effective and rapid assay technique for the identification of DNA methylation is one of the most crucial issues in the field of biomedical diagnosis because DNA methylation plays key roles in human health. The plasmonic crystal-based surface-enhanced Raman spectroscopy (SERS) technique is promising for the realization of such an assay method owing to its capability of generating uniformly enhanced electric fields to achieve high reproducibility and accuracy in SERS assays. However, the time and technical costs of fabricating plasmonic crystals are high, owing to the need for nanofabrication equipment. In this study, we developed nanoimprinted plasmonic crystals for cost-effective and rapid DNA methylation assays. Our plasmonic crystals identified methylated DNA with the 40-base pair adenomatous polyposis coli (APC) gene sequence, which is correlated with cell growth and cancer cells.


Assuntos
Metilação de DNA , DNA , Análise Espectral Raman , Análise Espectral Raman/métodos , Metilação de DNA/genética , Humanos , DNA/química , Análise Custo-Benefício , Nanopartículas Metálicas/química
3.
Nanotechnology ; 34(16)2023 Feb 06.
Artigo em Inglês | MEDLINE | ID: mdl-36645905

RESUMO

Nanostructuring is a promising and successful approach to tailor functional layers and to improve the characteristics of biosensors such as signal transmission and tighter cell-surface coupling. One of the major objectives in biosensing and tissue engineering is the development of interfaces that mimic the natural environment of biosystems composed of extracellular matrix biomolecules. Nevertheless, effective techniques to reconstruct the random distribution of these biomolecules are still not well established. For this reason, the presented work demonstrates different methods based on nanoimprint lithography to replicate randomly distributed natural nanostructures with complex geometries into different polymers and metals. The fidelity of the replicated nanostructures has been evaluated by atomic force microscopy and the attributes of the fabrication processes have been discussed. Finally, different replication techniques have been combined for the biomimetic nanostructuring of the dielectric passivation layer as well the metal electrode surface to develop novel whole-surface-nanostructured microelectrode arrays.


Assuntos
Técnicas Biossensoriais , Nanoestruturas , Polímeros/química , Biomimética , Nanoestruturas/química , Metais/química
4.
Nanotechnology ; 34(44)2023 Aug 16.
Artigo em Inglês | MEDLINE | ID: mdl-37494897

RESUMO

Semiconductor nanowires (NWs) in horizontal configuration could provide a path for scalable NW-based devices. Bottom-up large-scale manufacturing of these nanostructures by selective area epitaxy (SAE) relies on precise nanopatterning of various shapes on the growth masks. Electron beam lithography offers an extraordinary accuracy suited for the purpose. However, this technique is not economically viable for large production as it has a low throughput and requires high investment and operational costs. Nanoimprint lithography (NIL) has the potential to reduce fabrication time and costs significantly while requiring less sophisticated equipment. In this work, we utilize both thermal and UV NIL for patterning substrates for SAE, elucidating the advantages and disadvantages of each lithography technique. We demonstrate the epitaxial growth of Ge and GaAs NWs on these substrates, where we observe high-quality mono-crystalline structures. Even though both processes can produce small uniform structures suitable for SAE, our results show that UV NIL proves to be superior and enables reliable and efficient patterning of sub-100 nm mask features at the wafer scale.

5.
Nanotechnology ; 34(29)2023 May 09.
Artigo em Inglês | MEDLINE | ID: mdl-37088081

RESUMO

Dissolvable and transient devices are important for environment-friendly disposal and information security. Similar to transient electronic devices, photonic devices use dissolvable metals such as magnesium and zinc to enable tunable plasmonic resonances. However, functional nanostructured substrates made of a common photoresist and a soft substrate are not dissolvable. In this study, we report the large-area, dissolvable polylactic-co-glycolic acid nanostructures formed by nanoimprint lithography and discuss the impact of the imprinting temperatures and ambient conditions on the formed nanostructures. The deposition of a thin layer of metal can yield a quasi-3D plasmonic device, and the choice of zinc metal can result in an all-dissolvable device in water over a few days. Consequently, the transmission spectra of these plasmonic devices could be tuned after placement in water. This strategy yields a truly transient nanophotonic device that can be degraded after performing its function for a specific period.

6.
Nanotechnology ; 34(50)2023 Oct 04.
Artigo em Inglês | MEDLINE | ID: mdl-37703872

RESUMO

This paper reports a new type of nanoimprinting method called Bi-layer nanoimprinting lithography (BL-NIL), which can work along with metal-assisted chemical etching (MaCE) for fabricating nanostructures on silicon. In contrast to conventional nanoimprinting techniques, BL-NIL adds an interposing layer between the imprinting resist layer and silicon substrate. After the standard imprinting process, dry etching was used to etch away the residual imprinting layer and part of the interposing layer. Finally, the remaining interposing layer was wet-etched using its remover. This innovative approach can ensure cleanliness at the metal/silicon interface after metal lift-off processes, and therefore guarantees the success of MaCE. By combining BL-NIL and MaCE, expensive silicon molds with sub-micrometer/nanometer-scale feature sizes can be easily replicated and preserved. This is important for the application of nanoimprinting technologies in industrial manufacturing.

7.
Nano Lett ; 22(9): 3620-3627, 2022 05 11.
Artigo em Inglês | MEDLINE | ID: mdl-35348344

RESUMO

Widespread testing and isolation of infected patients is a cornerstone of viral outbreak management, as underscored during the ongoing COVID-19 pandemic. Here, we report a large-area and label-free testing platform that combines surface-enhanced Raman spectroscopy and machine learning for the rapid and accurate detection of SARS-CoV-2. Spectroscopic signatures acquired from virus samples on metal-insulator-metal nanostructures, fabricated using nanoimprint lithography and transfer printing, can provide test results within 25 min. Not only can our technique accurately distinguish between different respiratory and nonrespiratory viruses, but it can also detect virus signatures in physiologically relevant matrices such as human saliva without any additional sample preparation. Furthermore, our large area nanopatterning approach allows sensors to be fabricated on flexible surfaces allowing them to be mounted on any surface or used as wearables. We envision that our versatile and portable label-free spectroscopic platform will offer an important tool for virus detection and future outbreak preparedness.


Assuntos
COVID-19 , Nanoestruturas , COVID-19/diagnóstico , Humanos , Nanoestruturas/química , Pandemias , SARS-CoV-2 , Análise Espectral Raman/métodos
8.
Small ; 18(15): e2106887, 2022 04.
Artigo em Inglês | MEDLINE | ID: mdl-35224852

RESUMO

Microporous mesh plasmonic devices have the potential to combine the biocompatibility of microporous polymeric meshes with the capabilities of plasmonic nanostructures to enhance nanoscale light-matter interactions for bio-interfaced optical sensing and actuation. However, scalable integration of dense and uniformly structured plasmonic hotspot arrays with microporous polymeric meshes remains challenging due to the processing incompatibility of conventional nanofabrication methods with flexible microporous substrates. Here, scalable nanofabrication of microporous multiresonant plasmonic meshes (MMPMs) is achieved via a hierarchical micro-/nanoimprint lithography approach using dissolvable polymeric templates. It is demonstrated that MMPMs can serve as broadband nonlinear nanoplasmonic devices to generate second-harmonic generation, third-harmonic generation, and upconversion photoluminescence signals with multiresonant plasmonic enhancement under fs pulse excitation. Moreover, MMPMs are employed and explored as bio-interfaced surface-enhanced Raman spectroscopy mesh sensors to enable in situ spatiotemporal molecular profiling of bacterial biofilm activity. Microporous mesh plasmonic devices open exciting avenues for bio-interfaced optical sensing and actuation applications, such as inflammation-free epidermal sensors in conformal contact with skin, combined tissue-engineering and biosensing scaffolds for in vitro 3D cell culture models, and minimally invasive implantable probes for long-term disease diagnostics and therapeutics.


Assuntos
Nanoestruturas , Nanoestruturas/química , Óptica e Fotônica , Polímeros , Impressão , Análise Espectral Raman/métodos
9.
Small ; 18(45): e2204517, 2022 11.
Artigo em Inglês | MEDLINE | ID: mdl-36161480

RESUMO

Multicellular systems, such as microbial biofilms and cancerous tumors, feature complex biological activities coordinated by cellular interactions mediated via different signaling and regulatory pathways, which are intrinsically heterogeneous, dynamic, and adaptive. However, due to their invasiveness or their inability to interface with native cellular networks, standard bioanalysis methods do not allow in situ spatiotemporal biochemical monitoring of multicellular systems to capture holistic spatiotemporal pictures of systems-level biology. Here, a high-throughput reverse nanoimprint lithography approach is reported to create biomimetic transparent nanoplasmonic microporous mesh (BTNMM) devices with ultrathin flexible microporous structures for spatiotemporal multimodal surface-enhanced Raman spectroscopy (SERS) measurements at the bio-interface. It is demonstrated that BTNMMs, supporting uniform and ultrasensitive SERS hotspots, can simultaneously enable spatiotemporal multimodal SERS measurements for targeted pH sensing and non-targeted molecular detection to resolve the diffusion dynamics for pH, adenine, and Rhodamine 6G molecules in agarose gel. Moreover, it is demonstrated that BTNMMs can act as multifunctional bio-interfaced SERS sensors to conduct in situ spatiotemporal pH mapping and molecular profiling of Escherichia coli biofilms. It is envisioned that the ultrasensitive multimodal SERS capability, transport permeability, and biomechanical compatibility of the BTNMMs can open exciting avenues for bio-interfaced multifunctional sensing applications both in vitro and in vivo.


Assuntos
Biomimética , Análise Espectral Raman , Análise Espectral Raman/métodos , Biofilmes
10.
Macromol Rapid Commun ; 43(19): e2200150, 2022 Oct.
Artigo em Inglês | MEDLINE | ID: mdl-35770908

RESUMO

The response time of state-of-the-art humidity sensors is ≈8 s. A faster tracking of humidity change is especially required for health care devices. This research is focused on the direct nanostructuring of a humidity-sensitive polymer thin film and it is combined with an optical read-out method. The goal is to improve the response time by changing the surface-to-volume ratio of the thin film and to test a different measurement method compared to state-of-the-art sensors. Large and homogeneous nanostructured areas are fabricated by nanoimprint lithography on poly(2-hydroxyethyl methacrylate) thin films. Those thin films are made by initiated chemical vapor deposition (iCVD). To the author's knowledge, this is the first time nanoimprint lithography is applied on iCVD polymer thin films. With the imprinting process, a diffraction grating is developed in the visible wavelength regime. The optical and physicochemical behavior of the nanostructures is modeled with multi-physic simulations. After successful modeling and fabrication a first proof of concept shows that humidity dependency by using an optical detection of the first diffraction order peak is observable. The response time of the structured thin film results to be at least three times faster compared to commercial sensors.


Assuntos
Hidrogéis , Nanoestruturas , Umidade , Nanoestruturas/química , Polímeros/química
11.
Small ; 17(52): e2105733, 2021 12.
Artigo em Inglês | MEDLINE | ID: mdl-34854553

RESUMO

Rotating cylindrical stamp-based nanoimprint technique has many advantages, including the continuous fabrication of intriguing micro/nanostructures and rapid pattern transfer on a large scale. Despite these advantages, the previous nanoimprint lithography has rarely been used for producing sophisticated nanoscale patterns on a non-planar substrate that has many extended applications. Here, the simple integration of nanoimprinting process with a help of a transparent stamp wrapped on the cylindrical roll and UV optical source in the core to enable high-throughput pattern transfer, particularly on a fabric substrate is demonstrated. Moreover, as a functional resin material, this innovative strategy involves a synergistic approach on the synthesis of molecularly imprinted polymer, which are spatially organized free-standing perforated nanostructures such as nano/microscale lines, posts, and holes patterns on various woven or nonwoven blank substrates. The proposed materials can serve as a self-encoded filtration medium for selective separation of formaldehyde molecules. It is envisioned that the combinatorial fabrication process and attractive material paves the way for designing next-generation separation systems in use to capture industrial or household toxic substances.


Assuntos
Polímeros Molecularmente Impressos , Nanoestruturas , Impressão
12.
Small ; 17(42): e2102567, 2021 10.
Artigo em Inglês | MEDLINE | ID: mdl-34558175

RESUMO

Nanoscale electrophoresis allows for unique separations of single molecules, such as DNA/RNA nucleobases, and thus has the potential to be used as single molecular sensors for exonuclease sequencing. For this to be envisioned, label-free detection of the nucleotides to determine their electrophoretic mobility (i.e., time-of-flight, TOF) for highly accurate identification must be realized. Here, for the first time a novel nanosensor is shown that allows discriminating four 2-deoxyribonucleoside 5'-monophosphates, dNMPs, molecules in a label-free manner by nanoscale electrophoresis. This is made possible by positioning two sub-10 nm in-plane pores at both ends of a nanochannel column used for nanoscale electrophoresis and measuring the longitudinal transient current during translocation of the molecules. The dual nanopore TOF sensor with 0.5, 1, and 5 µm long nanochannel column lengths discriminates different dNMPs with a mean accuracy of 55, 66, and 94%, respectively. This nanosensor format can broadly be applicable to label-free detection and discrimination of other single molecules, vesicles, and particles by changing the dimensions of the nanochannel column and in-plane nanopores and integrating different pre- and postprocessing units to the nanosensor. This is simple to accomplish because the nanosensor is contained within a fluidic network made in plastic via replication.


Assuntos
Nanoporos , Nucleotídeos , DNA , Eletroforese , Nanotecnologia
13.
Chemistry ; 27(4): 1356-1363, 2021 Jan 18.
Artigo em Inglês | MEDLINE | ID: mdl-32881100

RESUMO

A combination of soft lithographic printing and soft templating has been used to fabricate high-resolution interdigitated micro-supercapacitors (MSC). Surfactant-assisted self-assembly produces high surface area ordered mesoporous carbons (490 m2 g-1 ). For the first time, such precursors have been printed by nano-imprint lithography as microdevices with a line width of only 250 nm and a spacing of only 1 µm. The devices are crack-free with low specific resistance (1.2×10-5  Ωm) and show good device capacitance up to 0.21 F cm-3 .

14.
Nanotechnology ; 32(50)2021 Sep 22.
Artigo em Inglês | MEDLINE | ID: mdl-34492647

RESUMO

Nanoimprint lithography is an emerging technology to form patterns and features in the nanoscale. Production of nanoscale patterns is challenging particularly in the sub-50 nm range. Pre-stressed polymer films with embedded microscale pattern can be miniaturized by shrinking induced due to thermal stress release. However, when pre-stressed films are thermally nanoimprinted with sub-micron features and shruken, they lose all the topographical features due to material recovery. Here we report a new approach that prevents recovery and allows retention of shrunken patterns even at the scale of <50 nm. We have discovered that when the shrinking process is mechanically constrained in one direction, the thermal treatment only relieves the stress in the orthogonal direction leading to a uniaxial shrinkage in that direction while preserving the topographical features. A second step, with the constraint in the orthogonal direction leads to biaxial shrinkage and preservation of all of the topographical features. This new technique can produce well defined and high resolution nanostructures at dimensions below 50 nm. The process is programmable and the thermal treatment can be tuned to shrink features to various dimension below the original imprint which we use to produce tunable and gradient plasmonic structures.

15.
Nanotechnology ; 32(33)2021 May 24.
Artigo em Inglês | MEDLINE | ID: mdl-33951617

RESUMO

The thermal stability of antireflective moth-eye topographical features fabricated by nanoimprint lithography on poly (methyl methacrylate) (PMMA) incorporating TiO2nanoparticles is explored. The effect of nanoparticle load on the relaxation dynamics of the moth-eye nanostructure is evaluated via grazing incidence small angle x-ray scattering measurements byin situmonitoring the structural decay of the nanopatterns upon thermal annealing. It is demonstrated that the incorporation of TiO2nanoparticles to the imprinted surface nanocomposite films delays greatly the pattern relaxation which, in turn, enhances the stability of the patterned topography even at temperatures well above the polymer glass transition (Tg). The improved thermal behavior of the antireflective films will significantly enhance their functionality and performance in light-trapping applications where temperatures typically rise, such as solar devices or solar glass panels.

16.
Nanotechnology ; 32(35)2021 Jun 09.
Artigo em Inglês | MEDLINE | ID: mdl-34034240

RESUMO

Plasmonic nanostructures are successfully demonstrated in solar cells due to their broad spectra-selective resonance in the range of ultraviolet to near-infrared, and thus light absorption can be mostly improved and power conversion efficiency (PCE) further. Here, we demonstrate plasmonic dye-sensitized solar cells (DSSCs) using collapsible Au nanofingers to build photoanode to enhance light absorption. In this plasmonic DSSCs, by balancing local field enhancement due to gap-plasmon resonance and dye fluorescence quenching, the optimal gap size in collapsed Au/Al2O3/Au nanofingers is designed by twice the Al2O3thickness and then deposited a TiO2layer as photoanode. The results show that the PCE of DSSCs is mostly improved as compared to DSSCs with photoanode of Au/Al2O3/TiO2films, which can be ascribed to the coupled local field enhancement within the sub-nanometer gaps. In addition, fluorescence of dyes on plasmonic nanofingers is nearly 10 times higher than plain Au/Al2O3/TiO2films, which further proves the dye absorption enhancement. These plasmonic nanofingers enable the precise engineering of gap-plasmon modes and can be scaled up to wafer scale with low cost by the nanoimprint lithography technique, which suggests the feasibility of applying our result in constructing the photoanode for other types of solar cells.

17.
Adv Exp Med Biol ; 1309: 217-233, 2021.
Artigo em Inglês | MEDLINE | ID: mdl-33782874

RESUMO

Micro and nanofabrication technologies are integral to the development of miniaturized systems. Lithography plays a key role in micro and nanofabrication techniques. Since high functional miniaturized systems are required in various fields, such as the development of a semiconductor, chemical and biological analysis, and biomedical researches, lithography techniques have been developed and applied for their appropriate purpose. Lithography can be classified into conventional and unconventional lithography, or top-down and bottom-up, or with mask and mask-less approaches. In this chapter, various lithography techniques are categorized and classified into conventional and unconventional lithography. In the first part, photolithography, electron beam, and focused-ion beam lithography are introduced as conventional lithography techniques. The second part introduces nanoimprint lithography, deformation lithography, and colloidal lithography as unconventional lithography techniques. In the last part, the pros and cons of each lithography are discussed for an appropriate design of fabrication processes.


Assuntos
Nanotecnologia , Impressão , Semicondutores , Tecnologia
18.
Sensors (Basel) ; 20(11)2020 Jun 05.
Artigo em Inglês | MEDLINE | ID: mdl-32517127

RESUMO

Optical sensors based on guided mode resonance (GMR) realized in polymers are promising candidates for sensitive and cost effective strain sensors. The benefit of GMR grating sensors is the non-contact, easy optical read-out with large working distance, avoiding costly alignment and packaging procedures. The GMR gratings with resonance around 850-900 nm are fabricated using electron beam lithography and replicated using a soft stamp based imprinting technique on 175 µ m-thick foils to make them suitable for optical strain sensing. For the strain measurements, foils are realized with both GMR gratings and waveguides with Bragg gratings. The latter are used as reference sensors and allow extracting the absolute strain sensitivity of the GMR sensor foils. Following this method, it is shown that GMR gratings have an absolute strain sensitivity of 1.02 ± 0.05 p m / µ ϵ at 870 nm.

19.
Molecules ; 25(10)2020 May 23.
Artigo em Inglês | MEDLINE | ID: mdl-32456151

RESUMO

In nanoimprint lithography (NIL), a pattern is created by mechanical deformation of an imprint resist via embossing with a stamp, where the adhesion behavior during the filling of the imprint stamp and its subsequent detachment may impose some practical challenges. Here we explored thermal and reverse NIL patterning of polyvinylferrocene and vinylferrocene-methyl methacrylate copolymers to prepare complex non-spherical objects and patterns. While neat polyvinylferrocene was found to be unsuitable for NIL, freshly-prepared vinylferrocene-methyl methacrylate copolymers, for which identity and purity were established, have been structured into 3D-micro/nano-patterns using NIL. The cross-, square-, and circle-shaped columnar structures form a 3 × 3 mm arrangement with periodicity of 3 µm, 1 µm, 542 nm, and 506 nm. According to our findings, vinylferrocene-methyl methacrylate copolymers can be imprinted without further additives in NIL processes, which opens the way for redox-responsive 3D-nano/micro-objects and patterns via NIL to be explored in the future.


Assuntos
Compostos Ferrosos/química , Metilmetacrilato/química , Nanocompostos/química , Polímeros/química , Compostos de Vinila/química , Compostos Ferrosos/síntese química , Metilmetacrilato/síntese química , Impressão Molecular , Polímeros/síntese química , Polivinil/síntese química , Polivinil/química , Propriedades de Superfície , Compostos de Vinila/síntese química
20.
Angew Chem Int Ed Engl ; 59(28): 11521-11526, 2020 Jul 06.
Artigo em Inglês | MEDLINE | ID: mdl-32243037

RESUMO

Self-assembly of d8 metal polypyridine systems is a well-established approach for the creation of 1D organometallic assemblies but there are still challenges for the large-scale construction of nanostructured patterns from these building blocks. We describe herein the use of high-throughput nanoimprint lithography (NIL) to direct the self-assembly of the bimetallic complexes [4'-ferrocenyl-(2,2':6',2''-terpyridine)M(OAc)]+ (OAc)- (M=Pd or Pt; OAc=acetate). Uniform nanorods are fabricated from the molecular self-organization and evidenced by morphological characterization. More importantly, when top-down NIL is coupled with the bottom-up self-assembly of the organometallic building blocks, regular arrays of nanorods can be accessed and the patterns can be controlled by changing the lithographic stamp, where the mold imposes a confinement effect on the nanorod growth. In addition, patterns consisting of the products formed after pyrolysis are studied. The resulting arrays of ferromagnetic FeM alloy nanorods suggest promising potential for the scalable production of ordered magnetic arrays and fabrication of magnetic bit-patterned media.

SELEÇÃO DE REFERÊNCIAS
Detalhe da pesquisa