Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 32
Filtrar
Mais filtros








Base de dados
Intervalo de ano de publicação
1.
Polymers (Basel) ; 16(8)2024 Apr 18.
Artigo em Inglês | MEDLINE | ID: mdl-38675056

RESUMO

Recently, due to the advantages of superior compatibility, fewer interface defects, and a high electric breakdown field, all-organic dielectric composites have attracted significant research interest. In this investigation, we produced all-organic P(VDF-TrFE-CFE) terpolymer/PI (terp/PI) composite films by incorporating a small amount of terpolymer into PI substrates for high energy density capacitor applications. The resulting terp/PI-5 (5% terpolymer) composite films exhibit a permittivity of 3.81 at 1 kHz, which is 18.7% greater than that of pristine PI (3.21). Furthermore, the terp/PI-5 film exhibited the highest energy density (9.67 J/cm3) and a relatively high charge-discharge efficiency (84.7%) among the terp/PI composite films. The energy density of the terp/PI-5 film was increased by 59.8% compared to that of the pristine PI film. The TSDC results and band structure analysis revealed the presence of deeper traps in the terp/PI composites, contributing to the suppression of leakage current and improved charge-discharge efficiency. Furthermore, durability tests confirm the stability of the composite films under extended high-temperature exposure and cycling, establishing their viability for practical applications.

2.
Polymers (Basel) ; 15(18)2023 Sep 18.
Artigo em Inglês | MEDLINE | ID: mdl-37765658

RESUMO

Polyimide (PI) is widely deployed in space missions due to its good radiation resistance and durability. The influences from radiation and harsh temperatures should be carefully evaluated during the long-term service life. In the current work, the coupled thermal and radiation effects on the mechanical properties of PI samples were quantitatively investigated via experiments. At first, various PI specimens were prepared, and electron irradiation tests were conducted with different fluences. Then, both uniaxial tensile tests at room temperature and the dynamic mechanical analysis at varied temperatures of PI specimens with and without electron irradiation were performed. After that, uniaxial tensile tests at low and high temperatures were performed. The fracture surface of the PI film was observed using a scanning electron microscope, and its surface topography was measured using atomic force microscopy. In the meantime, the Fourier-transform infrared spectrum tests were conducted to check for chemical changes. In conclusion, the tensile tests showed that electron irradiation has a negligible effect during the linear stretching period but significantly impacts the hardening stage and elongation at break. Moreover, electron irradiation slightly influences the thermal properties of PI according to the differential scanning calorimetry results. However, both high and low temperatures dramatically affect the elastic modulus and elongation at break of PI.

3.
Polymers (Basel) ; 15(17)2023 Aug 26.
Artigo em Inglês | MEDLINE | ID: mdl-37688175

RESUMO

Polymeric optical films with light colors, good optical transparency and high thermal resistance have gained increasing attention in advanced optoelectronic areas in recent years. However, it is somewhat inter-conflicting for achieving the good optical properties to the conventional thermal resistant polymers, such as the standard aromatic polyimide (PI) films, which are well known for the excellent combined properties and also the deep colors. In this work, a series of wholly aromatic PI films were prepared via the polycondensation chemistry of one fluorene-containing dianhydride, 9,9-bis(3,4-dicarboxyphenyl)fluorene dianhydride (FDAn) and several aromatic diamines with amide linkages in the main chain, including 9,9-bis [4-(4-aminobenzamide)phenyl]fluorene (FDAADA), 2,2'-bis(trifluoromethyl)-4,4'-bis[4-(4-aminobenzamide)] biphenyl (ABTFMB), and 2,2'-bis(trifluoromethyl)-4,4'-bis[4-(4-amino-3-methyl)benzamide] biphenyl (MABTFMB). The derived FLPI-1 (FDAn-FDAADA), FLPI-2 (FDAn-ABTFMB) and FLPI-3 (FDAn-MABTFMB) resins showed good solubility in the polar aprotic solvents, such as N-methyl-2-pyrrolidone (NMP), N,N-dimethylacetamide (DMAc) and dimethyl sulfoxide (DMSO). The solution-processing FDAn-PI films exhibited good optical transmittance over 80.0% at a wavelength of 500 nm (T500), yellow indices (b*) in the range of 1.01-5.20, and haze values lower than 1.0%. In addition, the FDAn-PI films showed low optical retardance with optical retardation (Rth) values in the range of 31.7-390.6 nm. At the same time, the FDAn-PI films exhibited extremely high glass transition temperatures (Tg) over 420 °C according to dynamic mechanical analysis (DMA) tests. The FDAn-PI films showed good dimensional stability at elevated temperatures with linear coefficients of thermal expansion (CTE) in the range of (31.8-45.8) × 10-6/K.

4.
Materials (Basel) ; 16(14)2023 Jul 13.
Artigo em Inglês | MEDLINE | ID: mdl-37512255

RESUMO

Azo-polyimide films with supramolecular structure were obtained by casting onto glass plates a mixture based on polyamidic acid and different quantities of azochromophore, followed by thermal treatment to realize the final azo-polyimide structure. The dielectric characteristics of the supramolecular structure of polymer films were investigated by broad-band dielectric spectroscopy measurements at different temperatures and frequencies. The free-standing films proved to be flexible and tough and maintained their integrity after repeated bending. The work of adhesion at the polymer/platinum interface was calculated after the evaluation of the surface energy parameters before and after plasma treatment. Atomic force microscopy was used to image the surface morphology, the evolution of the roughness parameters, and the adhesion force between the platinum-covered tip and the polymer surface, registered at the nanoscale with the quantity of the azo dye introduced in the system. The simulation of the columnar growth of a platinum layer was made to provide information about the deposition parameters that should be used for optimal results in the deposition of platinum electrodes for sensors.

5.
Polymers (Basel) ; 15(14)2023 Jul 12.
Artigo em Inglês | MEDLINE | ID: mdl-37514405

RESUMO

Light-colored and transparent polyimide (PI) films with good high-temperature dimensional stability are highly desired for advanced optoelectronic applications. However, in practice, the simultaneous achievement of good optical and thermal properties in one PI film is usually difficult due to the inter-conflicting molecular design of the polymers. In the present work, a series of PI-SiO2 nanocomposite films (ABTFCPI) were developed based on the PI matrix derived from hydrogenated pyromellitic anhydride (HPMDA) and an aromatic diamine containing benzanilide and trifluoromethyl substituents in the structure, 2,2'-bis(trifluoromethyl)-4,4'-bis [4-(4-aminobenzamide)]biphenyl (ABTFMB). The inorganic SiO2 fillers were incorporated into the nanocomposite films in the form of colloidal nanoparticles dispersed in the good solvent of N,N-dimethylacetamide (DMAc) for the PI matrix. The derived ABTFCPI nanocomposite films showed good film-forming ability, flexible and tough nature, good optical transparency, and good thermal properties with loading amounts of SiO2 up to 30 wt% in the system. The ABTFCPI-30 film with a SiO2 content of 30 wt% in the film showed an optical transmittance of 79.6% at the wavelength of 400 nm (T400) with a thickness of 25 µm, yellow index (b*) of 2.15, and 5% weight loss temperatures (T5%) of 491 °C, which are all comparable to those the pristine ABTFCPI-0 matrix without filler (T400 = 81.8%; b* = 1.77; T5% = 492 °C). Meanwhile, the ABTFCPI-30 film exhibited obviously enhanced high-temperature dimensional stability with linear coefficients of thermal expansion (CTE) of 25.4 × 10-6/K in the temperature range of 50 to 250 °C, which is much lower than that of the AMTFCPI-0 film (CTE = 32.7 × 10-6/K).

6.
Polymers (Basel) ; 15(9)2023 Apr 27.
Artigo em Inglês | MEDLINE | ID: mdl-37177218

RESUMO

High-quality and free-standing polyimide (PI) film with desirable mechanical properties and uniformity is in high demand due to its widespread applications in highly precise flexible and chip-integrated sensors. In this study, a free-standing PI film with high toughness was successfully prepared using a diamine monomer with ether linkages. The prepared PI films exhibited significantly superior mechanical properties compared to PI films of the same molecular structure, which can be attributed to the systematic exploration of the film-forming process. The exploration of the film-forming process includes the curing procedures, film-forming substrates, and annealing treatments. Additionally, the thickness uniformity and surface homogeneity of free-standing films were crucial for toughness. Increasing the crystallinity of the PI films by eliminating residual stress also contributed to their high strength. The results demonstrate that by adjusting the above-mentioned factors, the prepared PI films possess excellent mechanical properties, with tensile strength and elongation at break of 194.71 MPa and 130.13%, respectively.

7.
Materials (Basel) ; 15(22)2022 Nov 14.
Artigo em Inglês | MEDLINE | ID: mdl-36431500

RESUMO

In this paper, a new aromatic diamine monomer 4,4'-(2,6-naphthalenediyl)bis[benzenamine]) (NADA) was synthesized and a series of modified PI films containing naphthalene ring structure obtained by controlling the molar ratio of NADA monomer, ternary polymerization with 4,4'-oxydianiline (ODA), and pyromellitic dianhydride (PMDA). The effects of the introduction of the naphthalene ring on the free volume and various properties of PI were investigated by molecular dynamic simulations. The results show that the comprehensive properties of the modified films are all improved to some extent, with 5% thermal weight loss temperature (Td5%) of 569 °C, glass transition temperature (Tg) of 381 °C, tensile strength of 96.41 MPa, and modulus of elasticity of 2.45 GPa. Dielectric property test results show that the dielectric constant (Dk) of the film at 1 MHz is reduced from 3.21 to 2.82 and dielectric loss (Df) reduced from 0.0091 to 0.0065. It is noteworthy that the PI-1 dielectric constant is reduced from 3.26 to 3.01 at 10 GHz with only 5% NADA doping, which is expected to yield the best ratio and provide the possibility of industrial production.

8.
Polymers (Basel) ; 14(22)2022 Nov 14.
Artigo em Inglês | MEDLINE | ID: mdl-36433037

RESUMO

Polyimide (PI) film is widely used as the key component of the capacitive humidity sensor, whose diffusion coefficient has a significant impact on the sensor's dynamic characteristics, but is rarely discussed. This paper provides a test method and processes for effective diffusion coefficients of water molecules in self-synthesis PI films. The films were formed by four ingredients (PMDA-ODA, BPDA-ODA and BPDA-BAPP, PMDA-BAPP) with PI acid concentrations of 23%, 20%, 17% and 15%, and tested in temperatures of 20 °C, 35 °C and 50 °C, respectively. The results indicated that BPDA-BAPP film was good as a moisture sensitive film, whose average effective diffusion coefficient was 2.709 × 10-14 m2/s. The temperature of the environment had a significant effect on the humidity-sensitive properties, but the PI acid concentration effect could be indirect.

9.
Micromachines (Basel) ; 13(11)2022 Oct 29.
Artigo em Inglês | MEDLINE | ID: mdl-36363874

RESUMO

The poor hydrophilicity of polyimide (PI) films limits their applications in flexible electronics, such as in wearable and implantable bio-MEMS devices. In this paper, an atmospheric pressure Ar/H2O microplasma jet (µAPPJ) with a nozzle diameter of 100 µm was utilized to site-selectively tune the surface hydrophilicity of a PI film. The electrical and optical characteristics of the µAPPJ were firstly investigated, and the results showed that multi-spikes occurred during the plasma discharge and that diverse reactive species, such as O atoms and OH radicals, were generated in the plasma plume. The physical and chemical properties of pristine and microplasma-modified PI surfaces were characterized by the water contact angle (WCA), atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). The wettability of the PI surface was significantly enhanced after microplasma modification, and the WCA could be adjusted by varying the applied voltage, water vapor content, plasma treatment time and storage time. The AFM images indicated that the surface roughness increased after the plasma treatment, which partially contributed to an improvement in the surface hydrophilicity. The XPS results showed a reduction in the C content and an increase in the O content, and abundant hydrophilic polar oxygen-containing functional groups were also grafted onto the PI film surface. Finally, the interaction mechanism between the PI molecular chains and the microplasma is discussed. The breaking of C-N and C-O bonds and the grafting of OH radicals were the key pathways to dominate the reaction process.

10.
Polymers (Basel) ; 14(21)2022 Oct 27.
Artigo em Inglês | MEDLINE | ID: mdl-36365560

RESUMO

Polyimide (PI) films with excellent heat resistance and outstanding mechanical properties have been widely researched in microelectronics and aerospace fields. However, most PI films can only be used under ordinary conditions due to their instability of dimension. The fabrication of multifunctional PI films for harsh conditions is still a challenge. Herein, flexible, low coefficient of thermal expansion (CTE) and improved mechanical properties films modified by carboxylated carbon nanotube (C-CNT) were fabricated. Acid treatment was adapted to adjust the surface characteristics by using a mixture of concentrated H2SO4/HNO3 solution to introduce carboxyl groups on the surface and improve the interfacial performance between the CNT and matrix. Moreover, different C-CNT concentrations of 0, 1, 3, 5, 7, and 9 wt.% were synthesized to use for the PI film fabrication. The results demonstrated that the 9 wt.% and 5 wt.% C-CNT/PI films possessed the lowest CTE value and the highest mechanical properties. In addition, the thermal stability of the C-CNT/PI films was improved, making them promising applications in precise and harsh environments.

11.
Polymers (Basel) ; 14(17)2022 Sep 01.
Artigo em Inglês | MEDLINE | ID: mdl-36080680

RESUMO

The operating safety of spacecraft in space environments is closely related to the surface discharging phenomenon of dielectrics such as polyimide (PI) film in solar arrays; moreover, carrier traps in the dielectric can affect its insulation performance. Therefore, to improve the vacuum surface flashover characteristics of PI film by nano modification and reveal the effect of trap distribution on the flashover of PI composite film, first, the original PI and nano-ZnO/PI composite films with different additive amounts (0.5, 1, 2, and 3 wt.%) were prepared by in situ polymerization and their performance was evaluated by the physicochemical properties characterized by methods such as thermogravimetric analysis; second, the surface traps of the original and nanocomposite films were measured and calculated by surface potential decay method, and the carrier mobility was also obtained; finally, the vacuum direct current (DC) surface flashover characteristics and bulk resistivity of all the film samples were measured and analyzed. The experiment results showed that with the increase in the amount of nano-ZnO, both the shallow and deep trap density increased significantly, while the trap energy varied slightly, and the surface flashover voltage also increased obviously. Based on the multi-core model, the increases in the shallow and deep trap density after the introduction of nano-ZnO into the PI matrix was analyzed from the microscopic perspective of the interface. Based on the comparative analysis of the trap distribution and surface flashover voltage characteristics, a bilayer model of vacuum DC surface flashover development was proposed. In the bilayer model, deep traps and shallow traps play a dominant role in the vacuum-solid interface and the inner surface of the dielectric, respectively, and increasing the trap density could effectively inhibit secondary electron multiplication on the surface and accelerate charge dissipation inside the film. Consequently, nano-ZnO can purposefully control the trap distribution, and then improve the flashover characteristics of nano-ZnO/PI composite films, which provides a new approach for improving the spacecraft material safety.

12.
Nanomaterials (Basel) ; 12(3)2022 Jan 24.
Artigo em Inglês | MEDLINE | ID: mdl-35159712

RESUMO

With the large-scale application and high-speed operation of electronic equipment, the thermal diffusion problem presents an increasing requirement for effective heat dissipation materials. Herein, high thermal conductive graphite films were fabricated via the graphitization of polyimide (PI) films with different amounts of chemical catalytic reagent. The results showed that chemically imidized PI (CIPI) films exhibit a higher tensile strength, thermal stability, and imidization degree than that of purely thermally imidized PI (TIPI) films. The graphite films derived from CIPI films present a more complete crystal orientation and ordered arrangement. With only 0.72% chemical catalytic reagent, the graphitized CIPI film achieved a high thermal conductivity of 1767 W·m-1·K-1, which is much higher than that of graphited TIPI film (1331 W·m-1·K-1), with an increase of 32.8%. The high thermal conductivity is attributed to the large in-plane crystallite size and high crystal integrity. It is believed that the chemical imidization method prioritizes the preparation of high-quality PI films and helps graphite films achieve an excellent performance.

13.
Polymers (Basel) ; 14(3)2022 Jan 27.
Artigo em Inglês | MEDLINE | ID: mdl-35160496

RESUMO

The design, simulation, realization, and measurement of an ultra-wideband (UWB) antenna on a polymeric substrate have been realized. The UWB antenna was prepared using conventional technology, such as copper etching; inkjet printing, which is regarded as a modern and progressive nano-technology; and polymer thick-film technology in the context of screen-printing technology. The thick-film technology-based UWB antenna has a bandwidth of 3.8 GHz, with a central frequency of 9 GHz, and a frequency range of 6.6 to 10.4 GHz. In addition to a comparison of the technologies described, the results show that the mesh of the screens has a significant impact on the quality of the UWB antenna when utilizing polymeric screen-printing pastes. Last but not least, the eco-friendly combination of polyimide substrate and graphene-based screen-printing paste is thoroughly detailed. From 5 to 9.42 GHz, the graphene-based UWB antenna achieved a bandwidth of 4.42 GHz. The designed and realized UWB antenna well exceeds the Federal Communications Commission's (FCC) standards for UWB antenna definition. The modification of the energy surface of the polyimide substrate by plasma treatment is also explained in this paper, in addition to the many types of screen-printing pastes and technologies. According to the findings, plasma treatment improved the bandwidth of UWB antennas to 5.45 GHz, and the combination of plasma treatment with graphene provides a suitable replacement for traditional etching technologies. The characteristics of graphene-based pastes can also be altered by plasma treatment in terms of their usability on flexible substrates.

14.
ACS Appl Mater Interfaces ; 13(51): 61275-61285, 2021 Dec 29.
Artigo em Inglês | MEDLINE | ID: mdl-34905915

RESUMO

Thermoelectric generators have found many applications where the heat source can be either flat or curved. For a curved heat source, flexible thermoelectric generators are generally used. A filler material with low thermal conductivity can provide additional mechanical support to the thermoelectric module and can reduce convection and radiation losses. Herein, the effect of three different filler materials on the output performance of rigid and flexible thermoelectric generators is investigated. At first, theoretical models are derived and the experimental study validated the models. The experimental study revealed that the flexible thermoelectric modules outperformed the rigid modules; this is due to the reduction of the number of thermal junctions in the flexible modules and due to the differences in the thermal conductivities of the flexible and rigid substrates. Likewise, among TE modules without filler/with air between the TE legs, with polyurethane foam filler material, and with polydimethylsiloxane filler material, air has the lowest thermal conductivity, and therefore, the thermoelectric generator without filler generates higher output power and higher power density than when the other two filler materials are used. For the fixed temperature gradient, the highest power densities for the flexible and rigid thermoelectric generators without filler are 155 and 137.7 µW/cm2 for temperature gradients of 10.8 and 10.3 °C, respectively.

15.
Polymers (Basel) ; 13(19)2021 Sep 23.
Artigo em Inglês | MEDLINE | ID: mdl-34641038

RESUMO

A series of polyimide (PI) films with a high-temperature-induced shape memory effect and tunable properties were prepared via the facile random copolymerization of 4,4'-oxydianiline (ODA) with 4,4'-(hexafluoroisopropyl)diphthalic anhydride (6FDA) and 4,4'-oxydiphthalic anhydride (ODPA). The trigger temperature can be controlled from 294 to 326 °C by adjusting the ratio of monomers. The effects of monomer rigidity on the chain mobility, physical properties, and shape memory performance of as-prepared copolyimide were systematically investigated. The introduction of ODPA could enhance the mobility of PI macromolecular chains, which made chain entanglement more likely to occur and increased the physical crosslinking density, thereby improving the PI's shape recovery up to 97%. Meanwhile, the existence of 6FDA enabled PI films to set quickly at low temperatures with a shape fixation of 98%. The shape memory cycling characteristics of the polyimide films are also studied, and the relationship between the PI chemical structure and the film properties are further discussed.

16.
Micromachines (Basel) ; 12(3)2021 Feb 24.
Artigo em Inglês | MEDLINE | ID: mdl-33668402

RESUMO

We conducted a laser parameter study on CO2 laser induced electrical conductivity on a polyimide film. The induced electrical conductivity was found to occur dominantly at the center of the scanning line instead of uniformly across the whole line width. MicroRaman examination revealed that the conductivity was mainly a result of the multi-layers (4-5) of graphene structure induced at the laser irradiation line center. The graphene morphology at the line center appeared as thin wall porous structures together with nano level fiber structures. With sufficient energy dose per unit length and laser power, this surface modification for electrical conductivity was independent of laser pulse frequency but was instead determined by the average laser power. High electrical conductivity could be achieved by a single scan of laser beam at a sufficiently high-power level. To achieve high conductivity, it was not efficient nor effective to utilize a laser at low power but compensating it with a slower scanning speed or having multiple scans. The electrical resistance over a 10 mm scanned length decreased significantly from a few hundred Ohms to 30 Ohms when energy dose per unit length increased from 0.16 J/mm to 1.0 J/mm, i.e., the laser power increased from 5.0 W to 24 W with corresponding power density of 3.44 × 10 W/cm2 to 16.54 W/cm2 respectively at a speed of 12.5 mm/s for a single pass scan. In contrast, power below 5 W at speeds exceeding 22.5 mm/s resulted in a non-conductive open loop.

17.
Micron ; 145: 103059, 2021 06.
Artigo em Inglês | MEDLINE | ID: mdl-33751938

RESUMO

Polyimide films are widely applied in harsh environments because of their outstanding performance. High-quality polyimide films are often manufactured through a two-step process. The complicated procedure results in different properties on the two sides, i.e., the air side and cast side of the films, and the quality of products from different manufacturers varies notably. In the present work, polyimide films with two thicknesses (1 and 2 mm) from four manufacturers were investigated. Atomic force microscope and FT-IR spectrometer were employed to monitor morphology, roughness, nanomechanical properties, and corresponding relative imidization degree on the two sides of each film. Statistical tools were applied to analyze the data. T-test suggests that the two sides of the same film were significantly different in roughness, DMT modulus, and relative imidization degree (p < 0.05). The roughness on the air side was consistently smaller than that of the cast side. ANOVA was used to compare differences among the manufacturers. Manufacturer B provided the smoothest films with the highest DMT moduli and imidization degrees. A positive correlation was found between the DMT modulus and imidization degree (r = 0.7330). Nanostructure and nanomechanical properties could affect the quality of the film. Striped morphology and adhesion were found on the cast side of the 2-mm film from manufacturer D, which compromised the film tension in the direction perpendicular to the strips. Investigations of morphology and mechanical properties of polyimide film at the nanoscale would help us better characterize the film, assure its quality, and select suitable film and side for proper applications.

18.
Nanomaterials (Basel) ; 11(1)2021 Jan 08.
Artigo em Inglês | MEDLINE | ID: mdl-33435592

RESUMO

For the development of spacecraft with long-servicing life in low earth orbit (LEO), high-temperature resistant polymer films with long-term atomic oxygen (AO) resistant features are highly desired. The relatively poor AO resistance of standard polyimide (PI) films greatly limited their applications in LEO spacecraft. In this work, we successfully prepared a series of novel AO resistant PI composite films containing nanocaged polyhedral oligomeric silsesquioxane (POSS) components in both the PI matrix and the fillers. The POSS-containing PI matrix film was prepared from a POSS-substituted aromatic diamine, N-[(heptaisobutyl-POSS)propyl]-3,5-diaminobenzamide (DABA-POSS) and a common aromatic diamine, 4,4'-oxydianline (ODA) and the aromatic dianhydride, pyromellitic dianhydride (PMDA) by a two-step thermal imidization procedure. The POSS-containing filler, trisilanolphenyl POSS (TSP-POSS) was added with the fixed proportion of 20 wt% in the final films. Incorporation of TSP-POSS additive apparently improved the thermal stability, but decreased the high-temperature dimensional stable nature of the PI composite films. The 5% weight loss temperature (T5%) of POSS-PI-20 with 20 wt% of DABA-POSS is 564 °C, and its coefficient of linear thermal expansion (CTE) is 81.0 × 10-6/K. The former is 16 °C lower and the latter was 20.0 × 10-6/K higher than those of the POSS-PI-10 film (T5% = 580 °C, CTE = 61.0 × 10-6/K), respectively. POSS components endowed the PI composite films excellent AO resistance and self-healing characteristics in AO environments. POSS-PI-30 exhibits the lowest AO erosion yield (Es) of 1.64 × 10-26 cm3/atom under AO exposure with a flux of 2.51 × 1021 atoms/cm2, which is more than two orders of magnitude lower than the referenced PI (PMDA-ODA) film. Inert silica or silicate passivation layers were detected on the surface of the PI composite films exposed to AO.

19.
Polymers (Basel) ; 12(12)2020 Nov 30.
Artigo em Inglês | MEDLINE | ID: mdl-33265995

RESUMO

The relatively poor atomic-oxygen (AO) resistance of the standard polyimide (PI) films greatly limits the wide applications in low earth orbit (LEO) environments. The introduction of polyhedral oligomeric silsesquioxane (POSS) units into the molecular structures of the PI films has been proven to be an effective procedure for enhancing the AO resistance of the PI films. In the current work, a series of POSS-substituted poly (pyromellitic anhydride-4,4'-oxydianiline) (PMDA-ODA) films (POSS-PI) with different POSS contents were synthesized via a POSS-containing diamine, N-[(heptaisobutyl-POSS)propyl]-3,5-diaminobenzamide (DABA-POSS). Subsequently, the effects of the molecular structures on the thermal, tensile, optical, and especially the AO-erosion behaviors of the POSS-PI films were investigated. The incorporation of the latent POSS substituents decreased the thermal stability and the high-temperature dimensional stability of the pristine PI-0 (PMDA-ODA) film. For instance, the PI-30 film with the DABA-POSS content of 30 wt% in the film exhibited a 5% weight loss temperature (T5%) of 512 °C and a coefficient of linear thermal expansion (CTE) of 54.6 × 10-6/K in the temperature range of 50-250 °C, respectively, which were all inferior to those of the PI-0 film (T5% = 574 °C; CTE = 28.9 × 10-6/K). In addition, the tensile properties of the POSS-containing PI films were also deteriorated, to some extent, due to the incorporation of the DABA-POSS components. The tensile strength (TS) of the POSS-PI films decreased with the order of PI-0 > PI-10 > PI-15 > PI-20 > PI-25 > PI-30, and so did the tensile modulus (TM) and the elongations at break (Eb). PI-30 showed the TS, TM, and Eb values of 75.0 MPa, 1.55 GPa, and 16.1%, respectively, which were all lower than those of the PI-0 film (TS = 131.0 MPa, TM = 1.88 GPa, Eb = 73.2%). Nevertheless, the incorporation of POSS components obviously increased the AO resistance of the PI films. All of the POSS-PI films survived from the AO exposure with the total fluence of 2.16 × 1021 atoms/cm2, while PI-0 was totally eroded under the same circumstance. The PI-30 film showed an AO erosion yield (Es) of 1.1 × 10-25 cm3/atom, which was approximately 3.67% of the PI-0 film (Es = 3.0 × 10-24 cm3/atom). Inert silica or silicate passivation layers were detected on the surface of the POSS-PI films after AO exposure, which efficiently prevented the further erosion of the under-layer materials.

20.
Nanomaterials (Basel) ; 10(12)2020 Dec 18.
Artigo em Inglês | MEDLINE | ID: mdl-33352840

RESUMO

Direct laser writing (DLW) is a convenient approach for fabricating graphene-based flexible electronic devices. In this paper, laser-induced graphene was successfully prepared on a thin and transparent polyimide film through the DLW process. Experiments have demonstrated that interdigital thin film capacitor prepared by the DLW method has a high specific capacitance of 8.11 mF/cm2 and volume capacitance density of 3.16 F/cm3 (0.05 mA/cm2) due to the doped fluoride in the laser-induced graphene. The capacitance is about 20 times larger than the super-capacitor based non-transparent polyimide film of the same thickness. Owing to its thin, flexible, higher electrochemical characteristics, the transparent polyimide film is promising for integrating and powering portable and wearable electronics.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA