Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 30
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Adv Mater ; 35(12): e2209128, 2023 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-36625665

RESUMO

The galvanostatic lithiation/sodiation voltage profiles of hard carbon anodes are simple, with a sloping drop followed by a plateau. However, a precise understanding of the corresponding redox sites and storage mechanisms is still elusive, which hinders further development in commercial applications. Here, a comprehensive comparison of the lithium- and sodium-ion storage behaviors of hard carbon is conducted, yielding the following key findings: 1) the sloping voltage section is presented by the lithium-ion intercalation in the graphitic lattices of hard carbons, whereas it mainly arises from the chemisorption of sodium ions on their inner surfaces constituting closed pores, even if the graphitic lattices are unoccupied; 2) the redox sites for the plateau capacities are the same as those for the closed pores regardless of the alkali ions; 3) the sodiation plateau capacities are mostly determined by the volume of the available closed pore, whereas the lithiation plateau capacities are primarily affected by the intercalation propensity; and 4) the intercalation preference and the plateau capacity have an inverse correlation. These findings from extensive characterizations and theoretical investigations provide a relatively clear elucidation of the electrochemical footprint of hard carbon anodes in relation to the redox mechanisms and storage sites for lithium and sodium ions, thereby providing a more rational design strategy for constructing better hard carbon anodes.

2.
Adv Mater ; 35(3): e2207338, 2023 Jan.
Artigo em Inglês | MEDLINE | ID: mdl-36300610

RESUMO

Nanoscale shape engineering is an essential requirement for the practical use of 2D materials, aiming at precisely customizing optimal structures and properties. In this work, sub-10-nm-scale block copolymer (BCP) self-assembled nanopatterns finely aligned along the atomic edge of 2D flakes, including graphene, MoS2 , and h-BN, are exploited for reliable nanopatterning of 2D materials. The underlying mechanism for the alignment of the self-assembled nanodomains is elucidated based on the wetting layer alternation of the BCP film in the presence of intermediate 2D flakes. The resultant highly aligned nanocylinder templates with remarkably low levels of line edge roughness (LER) and line-width roughness (LWR) yield a sub-10-nm-wide graphene nanoribbon (GNR) array with noticeable switching characteristics (on-to-off ratio up to ≈6 × 104 ).

3.
Nat Commun ; 13(1): 7876, 2022 Dec 23.
Artigo em Inglês | MEDLINE | ID: mdl-36564380

RESUMO

Chalcogenide aerogels (chalcogels) are amorphous structures widely known for their lack of localized structural control. This study, however, demonstrates a precise multiscale structural control through a thiostannate motif ([Sn2S6]4-)-transformation-induced self-assembly, yielding Na-Mn-Sn-S, Na-Mg-Sn-S, and Na-Sn(II)-Sn(IV)-S aerogels. The aerogels exhibited [Sn2S6]4-:Mn2+ stoichiometric-variation-induced-control of average specific surface areas (95-226 m2 g-1), thiostannate coordination networks (octahedral to tetrahedral), phase crystallinity (crystalline to amorphous), and hierarchical porous structures (micropore-intensive to mixed-pore state). In addition, these chalcogels successfully adopted the structural motifs and ion-exchange principles of two-dimensional layered metal sulfides (K2xMnxSn3-xS6, KMS-1), featuring a layer-by-layer stacking structure and effective radionuclide (Cs+, Sr2+)-control functionality. The thiostannate cluster-based gelation principle can be extended to afford Na-Mg-Sn-S and Na-Sn(II)-Sn(IV)-S chalcogels with the same structural features as the Na-Mn-Sn-S chalcogels (NMSCs). The study of NMSCs and their chalcogel family proves that the self-assembly principle of two-dimensional chalcogenide clusters can be used to design unique chalcogels with unprecedented structural hierarchy.

4.
ACS Appl Mater Interfaces ; 14(41): 46682-46694, 2022 Oct 19.
Artigo em Inglês | MEDLINE | ID: mdl-36201338

RESUMO

Typical amorphous aerogels pose great potential for CO2 adsorbents with high surface areas and facile diffusion, but they lack well-defined porosity and specific selectivity, inhibiting utilization of their full functionality. To assign well-defined porous structures to aerogels, a hierarchical metal-organic aerogel (HMOA) is designed, which consists of well-defined micropores (d ∼ 1 nm) by coordinative integration with chromium(III) and organic ligands. Due to its hierarchical structure with intrinsically flexible coordination, the HMOA has excellent porous features of a high surface area and a reusable surface with appropriate binding energy for CO2 adsorption. The HMOA features high CO2 adsorption capacity, high CO2/N2 IAST selectivity, and vacuum-induced surface regenerability (100% through 20 cycles). Further, the HMOA could be prepared via simple ambient drying methods while retaining the microporous network. This unique surface-tension-resistant micropore formation and flexible coordination systems of HMOA make it a potential candidate for a CO2 adsorbent with industrial scalability and reproducibility.

5.
ACS Appl Mater Interfaces ; 14(10): 12011-12037, 2022 Mar 16.
Artigo em Inglês | MEDLINE | ID: mdl-35230079

RESUMO

Block copolymer (BCP) nanopatterning has emerged as a versatile nanoscale fabrication tool for semiconductor devices and other applications, because of its ability to organize well-defined, periodic nanostructures with a critical dimension of 5-100 nm. While the most promising application field of BCP nanopatterning has been semiconductor devices, the versatility of BCPs has also led to enormous interest from a broad spectrum of other application areas. In particular, the intrinsically low cost and straightforward processing of BCP nanopatterning have been widely recognized for their large-area parallel formation of dense nanoscale features, which clearly contrasts that of sophisticated processing steps of the typical photolithographic process, including EUV lithography. In this Review, we highlight the recent progress in the field of BCP nanopatterning for various nonsemiconductor applications. Notable examples relying on BCP nanopatterning, including nanocatalysts, sensors, optics, energy devices, membranes, surface modifications and other emerging applications, are summarized. We further discuss the current limitations of BCP nanopatterning and suggest future research directions to open up new potential application fields.

6.
ACS Nano ; 15(7): 11762-11769, 2021 Jul 27.
Artigo em Inglês | MEDLINE | ID: mdl-34251179

RESUMO

The long-range alignment of supramolecular structures must be engineered as a first step toward advanced nanopatterning processes aimed at miniaturizing features to dimensions below 5 nm. This study introduces a facile method of directing the orientation of supramolecular columns over wafer-scale areas using faceted surfaces. Supramolecular columns with features on the sub-5 nm scale were highly aligned in a direction orthogonal to that of the facet patterning on unidirectional and nanoscopic faceted surface patterns. This unidirectional alignment of supramolecular columns is also observed by varying the thickness of the supramolecular film or by altering the dimensions of the facet pattern. The ordering behavior of the supramolecular columns can be attributed to the triangular depth profile of the bottom facet pattern. Furthermore, this directed self-assembly principle allows for the continuous alignment of supramolecular structures across ultralarge distances on flexible patterned substrates.

7.
ACS Appl Mater Interfaces ; 12(52): 58113-58121, 2020 Dec 30.
Artigo em Inglês | MEDLINE | ID: mdl-33325677

RESUMO

Nanoscale engineering of carbon materials is immensely demanded in various scientific areas. We present highly ordered nitrogen-doped carbon nanowire arrays via block copolymer (BCP) self-assembly under an electric field. Large dielectric constant difference between distinct polymer blocks offers rapid alignment of PMMA-b-PAN self-assembled nanodomains under an electric field. Lithographic patterning of the graphene electrode as well as straightforward thermal carbonization of the PAN block creates well-aligned carbon nanowire device structures. Diverse carbon nanopatterns including radial and curved arrays can be readily assembled by the modification of electrode shapes. Our carbon nanopatterns bear a nitrogen content over 26%, highly desirable for NO2 sensing, as the nitrogen element acts as adsorption sites for NO2 molecules. Aligned carbon nanowire arrays exhibits a 6-fold enhancement of NO2 sensitivity from a randomly aligned counterpart. Taking advantage of well-established benefits from device-oriented BCP nanopatterning, our approach proposes a viable route to highly ordered carbon nanostructures compatible to next-generation device architectures.

8.
Adv Mater ; 32(36): e2002620, 2020 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-32715535

RESUMO

Controlling the orientation of highly periodic supramolecular structures of small feature size (<5 nm) is the first step for potential applications in optoelectronics, membranes, and template synthesis. A new method, namely, laser photothermal writing, is introduced to direct the orientation of supramolecular columns over a large area. Supramolecular columns consisting of taper-shaped molecules with long aliphatic tail groups are aligned by a thermal gradient, which is induced by exposing a near-infrared laser beam to a graphene photothermal conversion layer. Intriguingly, the orientation of the supramolecular columns can be controlled in a facile manner by varying the laser scanning velocity and power. In contrast to previous methodologies for aligning supramolecular structures, this laser photothermal mechanism allows the directional and continuous alignment of supramolecular structures over an arbitrary large area with the easy control of laser irradiation. Besides, the laser process also enables area-selective orientation of the supramolecular structures for device-oriented nanopatterning.

9.
Sci Adv ; 6(13): eaay5986, 2020 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-32258402

RESUMO

Liquid crystal blue phases (BPs) are three-dimensional soft crystals with unit cell sizes orders of magnitude larger than those of classic, atomic crystals. The directed self-assembly of BPs on chemically patterned surfaces uniquely enables detailed in situ resonant soft x-ray scattering measurements of martensitic phase transformations in these systems. The formation of twin lamellae is explicitly identified during the BPII-to-BPI transformation, further corroborating the martensitic nature of this transformation and broadening the analogy between soft and atomic crystal diffusionless phase transformations to include their strain-release mechanisms.

10.
Sci Adv ; 5(11): eaax9112, 2019 11.
Artigo em Inglês | MEDLINE | ID: mdl-31819903

RESUMO

Engineering the grain boundaries of crystalline materials represents an enduring challenge, particularly in the case of soft materials. Grain boundaries, however, can provide preferential sites for chemical reactions, adsorption processes, nucleation of phase transitions, and mechanical transformations. In this work, "soft heteroepitaxy" is used to exert precise control over the lattice orientation of three-dimensional liquid crystalline soft crystals, thereby granting the ability to sculpt the grain boundaries between them. Since these soft crystals are liquid-like in nature, the heteroepitaxy approach introduced here provides a clear strategy to accurately mold liquid-liquid interfaces in structured liquids with a hitherto unavailable level of precision.

11.
ACS Nano ; 13(11): 13092-13099, 2019 Nov 26.
Artigo em Inglês | MEDLINE | ID: mdl-31600440

RESUMO

Block copolymer (BCP) lithography is an effective nanopatterning methodology exploiting nanoscale self-assembled periodic patterns in BCP thin films. This approach has a critical limitation for nonplanar substrate geometry arising from the reflow and modification of BCP films upon the thermal or solvent annealing process, which is inevitable to induce the mobility of BCP chains for the self-assembly process. Herein, reflow-free, 3D BCP nanopatterning is demonstrated by introducing a conformally grown adlayer by the initiated chemical vapor deposition (iCVD) process. A highly cross-linked poly(divinylbenzene) layer was deposited directly onto the BCP thin film surface by iCVD, which effectively prevented the reflow of BCP thin film during an annealing process. BCP nanopatterns could be stabilized on various substrate geometry, including a nonplanar deformed polymer substrate, a pyramid shape substrate, and a graphene fiber surface. A fiber-type hydrogen evolution reaction (HER) catalyst is suggested by stabilizing lamellar Pt nanopatterns on severely rough graphene fiber surfaces.

12.
ACS Appl Mater Interfaces ; 11(22): 20265-20271, 2019 Jun 05.
Artigo em Inglês | MEDLINE | ID: mdl-31081329

RESUMO

A nanosquare array is an indispensable element for the integrated circuit design of electronic devices. Block copolymer (BCP) lithography, a promising bottom-up approach for sub-10 nm patterning, has revealed a generic difficulty in the production of square symmetry because of the thermodynamically favored hexagonal packing of self-assembled sphere or cylinder arrays in thin-film geometry. Here, we demonstrate a simple route to square arrays via the orthogonal self-assembly of two lamellar layers on topographically patterned substrates. While bottom lamellar layers within a topographic trench are aligned parallel to the sidewalls, top layers above the trench are perpendicularly oriented to relieve the interfacial energy between grain boundaries. The size and period of the square symmetry are readily controllable with the molecular weight of BCPs. Moreover, such an orthogonal self-assembly can be applied to the formation of complex nanopatterns for advanced applications, including metal nanodot square arrays.

13.
ACS Appl Mater Interfaces ; 10(51): 44660-44667, 2018 Dec 26.
Artigo em Inglês | MEDLINE | ID: mdl-30480431

RESUMO

Effective surface enhancement of Raman scattering (SERS) requires strong near-field enhancement as well as effective light collection of plasmonic structures. To this end, plasmonic nanoparticle (NP) arrays with narrow gaps or sharp tips have been suggested as desirable structures. We present a highly dense and uniform Au nanoscale gap array enabled by the customized design of NP shape and arrangement employing block copolymer self-assembly. Block copolymer self-assembly in thin films offers uniform hexagonally packed nanopost template arrays over the entire surface of a 2 in. wafer. Conventional evaporative metal deposition over the nanotemplate surface allows precise geometric control and positional arrangement of metal NPs, constituting tunable, strong plasmonic near-field enhancement particularly at the "hot spots" near interparticular nanoscale gaps. Underlying field distribution has been investigated by a finite-difference time-domain simulation. In the detection of thiophenol, our Au nanogap array shows a remarkable enhancement of Raman intensity greater than ∼104, a standard deviation as small as 12.3% compared to that of the planar Au thin film. In addition, adenine biomolecules can be detected with a detection limit as low as 100 nM. Our approach proposes highly sensitive and reliable SERS on the basis of a scalable, low-cost bottom-up strategy.

14.
ACS Nano ; 12(5): 4164-4171, 2018 05 22.
Artigo em Inglês | MEDLINE | ID: mdl-29641889

RESUMO

Recently developed approaches in deterministic assembly allow for controlled, geometric transformation of two-dimensional structures into complex, engineered three-dimensional layouts. Attractive features include applicability to wide ranging layout designs and dimensions along with the capacity to integrate planar thin film materials and device layouts. The work reported here establishes further capabilities for directly embedding high-performance electronic devices into the resultant 3D constructs based on silicon nanomembranes (Si NMs) as the active materials in custom devices or microscale components released from commercial wafer sources. Systematic experimental studies and theoretical analysis illustrate the key ideas through varied 3D architectures, from interconnected bridges and coils to extended chiral structures, each of which embed n-channel Si NM MOSFETs (nMOS), Si NM diodes, and p-channel silicon MOSFETs (pMOS). Examples in stretchable/deformable systems highlight additional features of these platforms. These strategies are immediately applicable to other wide-ranging classes of materials and device technologies that can be rendered in two-dimensional layouts, from systems for energy storage, to photovoltaics, optoelectronics, and others.


Assuntos
Eletrônica/instrumentação , Nanoestruturas/química , Silício/química , Análise de Elementos Finitos , Iluminação , Fenômenos Mecânicos , Metais/química , Óxidos/química , Dióxido de Silício
15.
ACS Appl Mater Interfaces ; 10(3): 2490-2495, 2018 Jan 24.
Artigo em Inglês | MEDLINE | ID: mdl-29285922

RESUMO

Owing to unique potential for high color purity luminance based on low-cost solution processes, organic/inorganic hybrid perovskite light-emitting diodes (PeLEDs) are attracting a great deal of research attention. For high performance PeLEDs, optimum control of the perovskite film morphology is a critical parameter. Here, we introduce a reliable and well-controllable PeLED crystallization process based on beam-damage-free near-infrared laser (λ = 808 nm) irradiation. Morphology of the CH3NH3PbBr3 films can be precisely controlled by laser irradiation condition parameters: power density and beam scan rate. We systematically investigate the perovskite film morphology and device performance of the PeLEDs under different processing conditions. In the optimum power density and high beam scan rate (30 W cm-2, 0.1 mm s-1), a dense and smooth perovskite film is attained with a small crystal grain size. The critical relationship between the crystal grain size and LED efficiency is established while attaining the device performance of 0.95 cd A-1 efficiency and 1784 cd m-2.

16.
Nanoscale ; 10(1): 100-108, 2017 Dec 21.
Artigo em Inglês | MEDLINE | ID: mdl-29210423

RESUMO

Transparent conducting electrodes (TCEs) are essential components in various optoelectronic devices. Nanostructured metallic thin film is one of the promising candidates to complement current metal oxide films, such as ITO, where high cost rare earth elements have been a longstanding issue. Herein, we present that multiscale porous metal nanomesh thin films prepared by bimodal self-assembly of block copolymer (BCP)/homopolymer blends may offer a new opportunity for TCE. This hierarchical concurrent self-assembly consists of macrophase separation between BCP and homopolymer as well as microphase separation of BCP, and thus provides a straightforward spontaneous production of a highly porous multiscale pattern over an arbitrary large area. Employing a conventional pattern transfer process, we successfully demonstrated a multiscale highly porous metallic thin film with reasonable optical transparency, electro-conductance, and large-area uniformity, taking advantage of low loss light penetration through microscale pores and significant suppression of light reflection at the nanoporous structures. This well-defined controllable bimodal self-assembly can offer valuable opportunities for many different applications, including optoelectronics, energy harvesting, and membranes.

17.
Adv Mater ; 29(32)2017 Aug.
Artigo em Inglês | MEDLINE | ID: mdl-28635174

RESUMO

One of the fundamental challenges encountered in successful incorporation of directed self-assembly in sub-10 nm scale practical nanolithography is the process compatibility of block copolymers with a high Flory-Huggins interaction parameter (χ). Herein, reliable, fab-compatible, and ultrafast directed self-assembly of high-χ block copolymers is achieved with intense flash light. The instantaneous heating/quenching process over an extremely high temperature (over 600 °C) by flash light irradiation enables large grain growth of sub-10 nm scale self-assembled nanopatterns without thermal degradation or dewetting in a millisecond time scale. A rapid self-assembly mechanism for a highly ordered morphology is identified based on the kinetics and thermodynamics of the block copolymers with strong segregation. Furthermore, this novel self-assembly mechanism is combined with graphoepitaxy to demonstrate the feasibility of ultrafast directed self-assembly of sub-10 nm nanopatterns over a large area. A chemically modified graphene film is used as a flexible and conformal light-absorbing layer. Subsequently, transparent and mechanically flexible nanolithography with a millisecond photothermal process is achieved leading the way for roll-to-roll processability.

18.
ACS Appl Mater Interfaces ; 9(18): 15727-15732, 2017 May 10.
Artigo em Inglês | MEDLINE | ID: mdl-28401753

RESUMO

We introduce a facile and effective fabrication of complex multimetallic nanostructures through block copolymer self-assembly. Two- and three-dimensional complex nanostructures, such as "nanomesh," "double-layered nanomeshes," and "surface parallel cylinders on nanomesh," can be fabricated using the self-assembly of perforated lamellar morphology in block copolymer thin films. Simultaneous integration of various metallic elements, including Pt, Au, and Co, into the self-assembled morphologies generates multimetal complex nanostructures with highly interconnected morphology and a large surface. The resultant metal nanostructures with a large surface area, robust electrical connectivity, and well-defined alloy composition demonstrate a high-performance electrochemical catalysis for hydrogen evolution reaction (current density: 6.27 mA/cm2@0.1 V and Tafel slope: 43 mV/dec).

19.
Small ; 13(17)2017 05.
Artigo em Inglês | MEDLINE | ID: mdl-28218488

RESUMO

Spatial arrangement of 1D nanomaterials may offer enormous opportunities for advanced electronics and photonics. Moreover, morphological complexity and chemical diversity in the nanoscale components may lead to unique properties that are hardly anticipated in randomly distributed homogeneous nanostructures. Here, controlled chemical segmentation of metal nanowire arrays using block copolymer lithography and subsequent reversible metal ion loading are demonstrated. To impose chemical heterogeneity in the nanowires generated by block copolymer lithography, reversible ion loading method highly specific for one particular polymer block is introduced. Reversibility of the metal ion loading enables area-selective localized replacement of metal ions in the self-assembled patterns and creates segmented metal nanowire arrays with different metallic components. Further integration of this method with shear aligning process produces high aligned segmented metal nanowire array with desired local chemical compositions.

20.
Nat Commun ; 7: 12911, 2016 Sep 29.
Artigo em Inglês | MEDLINE | ID: mdl-27683077

RESUMO

The refractive index of natural transparent materials is limited to 2-3 throughout the visible wavelength range. Wider controllability of the refractive index is desired for novel optical applications such as nanoimaging and integrated photonics. We report that metamaterials consisting of period and symmetry-tunable self-assembled nanopatterns can provide a controllable refractive index medium for a broad wavelength range, including the visible region. Our approach exploits the independent control of permeability and permittivity with nanoscale objects smaller than the skin depth. The precise manipulation of the interobject distance in block copolymer nanopatterns via pattern shrinkage increased the effective refractive index up to 5.10. The effective refractive index remains above 3.0 over more than 1,000 nm wavelength bandwidth. Spatially graded and anisotropic refractive indices are also obtained with the design of transitional and rotational symmetry modification.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA