Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 27
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
ACS Appl Mater Interfaces ; 16(32): 42534-42545, 2024 Aug 14.
Artigo em Inglês | MEDLINE | ID: mdl-39102275

RESUMO

Epitaxial strain plays an important role in the stabilization of ferroelectricity in doped hafnia thin films, which are emerging candidates for Si-compatible nanoscale devices. Here, we report on epitaxial ferroelectric thin films of doped HfO2 deposited on La0.7Sr0.3MnO3-buffered SrTiO3 substrates, La0.7Sr0.3MnO3 SrTiO3-buffered Si (100) wafers, and trigonal Al2O3 substrates. The investigated films appear to consist of four domains in a rhombohedral phase for films deposited on La0.7Sr0.3MnO3-buffered SrTiO3 substrates and two domains for those deposited on sapphire. These findings are supported by extensive transmission electron microscopy characterization of the investigated films. The doped hafnia films show ferroelectric behavior with a remanent polarization up to 25 µC/cm2 and they do not require wake-up cycling to reach the polarization, unlike the reported polycrystalline orthorhombic ferroelectric hafnia films.

2.
Nanotechnology ; 35(42)2024 Jul 29.
Artigo em Inglês | MEDLINE | ID: mdl-39019047

RESUMO

We report the fabrication of Hf0.5Zr0.5O2(HZO) based ferroelectric memory crosspoints using a complementary metal-oxide-semiconductor-compatible damascene process. In this work, we compared 12 and 56µm2crosspoint devices with the 0.02 mm2round devices commonly used as a benchmark. For all devices, a 9 nm thick ferroelectric thin film was deposited by plasma-enhanced atomic layer deposition on planarized bottom electrodes. The wake-up appeared to be longer for the crosspoint memories compared to 0.02 mm2benchmark, while all the devices reached a 2Prvalue of ∼50µC cm-2after 105cycles with 3 V/10µs squared pulses. The crosspoints stand out for their superior endurance, which was increased by an order of magnitude. Nucleation limited switching experiments were performed, revealing a switching time <170 ns for our 12 and 56µm2devices, while it remained in theµs range for the larger round devices. The downscaled devices demonstrate notable advantages with a rise in endurance and switching speed.

3.
Adv Mater ; 36(29): e2401021, 2024 Jul.
Artigo em Inglês | MEDLINE | ID: mdl-38695721

RESUMO

Brain-inspired neuromorphic computing has attracted widespread attention owing to its ability to perform parallel and energy-efficient computation. However, the synaptic weight of amorphous/polycrystalline oxide based memristor usually exhibits large nonlinear behavior with high asymmetry, which aggravates the complexity of peripheral circuit system. Controllable growth of conductive filaments is highly demanded for achieving the highly linear conductance modulation. However, the stochastic behavior of the filament growth in commonly used amorphous/polycrystalline oxide memristor makes it very challenging. Here, the epitaxially grown Hf0.5Zr0.5O2-based memristor with the linearity and symmetry approaching ideal case is reported. A layer of Cu nanoparticles is inserted into epitaxially grown Hf0.5Zr0.5O2 film to form the grain boundaries due to the breaking of the epitaxial growth. By combining with the local electric field enhancement, the growth of filament is confined in the grain boundaries due to the fact that the diffusion of oxygen vacancy in crystalline lattice is more difficult than that in the grain boundaries. Furthermore, the decimal operation and high-accuracy neural network are demonstrated by utilizing the highly linear and multi-level conductance modulation capacity. This method opens an avenue to control the filament growth for the application of resistance random access memory (RRAM) and neuromorphic computing.

4.
Nanotechnology ; 35(10)2023 Dec 18.
Artigo em Inglês | MEDLINE | ID: mdl-37995361

RESUMO

In this study, platinum (Pt) and tungsten (W), two materials with dissimilar coefficients of thermal expansion (CTE) and work functions (WF), are used as the top electrode (TE) and the bottom electrode (BE) in metal/ferroelectric/metal (MFM) structures to explore the ferroelectricity of hafnium zirconium oxide (HZO) with a thickness less than 10 nm. The electrical measurements indicate that a higher CTE mismatch between HZO and TE/BE is beneficial for enhancing the ferroelectric properties of nanoscale HZO thin films. The different WFs of TE and BE generate a built-in electric field in the HZO layer, leading to shifts in the hysteresis loops and the capacitance-voltage characteristics. The structural characterizations reveal that the preferred formation of the orthorhombic phase in HZO is dominated by the W BE. The device in which W is used as the TE and BE (the W/HZO/W MFM structure) presents the optimal ferroelectric performance of a high remanent polarization (2Pr= 55.2µC cm-2). The presence of tungsten oxide (WOx) at the W/HZO interfaces, as revealed by high-resolution transmission microscopy, is also responsible for the enhancement of ferroelectric properties. This study demonstrates the significant effects of different CTEs and WFs of TE and BE on the properties of ferroelectric HZO thin films.

5.
ACS Appl Mater Interfaces ; 15(36): 42764-42773, 2023 Sep 13.
Artigo em Inglês | MEDLINE | ID: mdl-37655492

RESUMO

The emergence of complementary metal-oxide semiconductor (CMOS)-compatible HfO2-based ferroelectric materials provides a promising way to achieve ferroelectric field-effect transistors (FeFETs) with a steep subthreshold swing (SS) reduced to below the Boltzmann thermodynamics limit (∼60 mV/dec at room temperature), which has important implications for lowering power consumption. In this work, a metal-oxide-semiconductor field-effect transistor (MOSFET) is connected with Hf0.5Zr0.5O2 (HZO)-based ferroelectric capacitors with different capacitances. By adjusting the capacitance of ferroelectric capacitors, an ultralow SS of ∼0.34 mV/dec in HfO2-based FeFETs can be achieved. More interestingly, by designing the sweeping voltage sequences, the SS can be adjusted to be 0 mV/dec with the drain current ranging over six orders of magnitude, and the threshold voltage for turning on the MOSFET can be further reduced. The manipulated SS could be attributed to the evolution of ferroelectric switching. Our work contributes to understanding the origin of ultralow SS in ferroelectric MOSFETs and the realization of low-power devices.

6.
Adv Mater ; 35(32): e2301206, 2023 Aug.
Artigo em Inglês | MEDLINE | ID: mdl-37282350

RESUMO

In nanostructure assemblies, the superposition of current paths forms microscopic electric circuits, and different circuit networks produce varying results, particularly when utilized as transistor channels for computing applications. However, the intricate nature of assembly networks and the winding paths of commensurate currents hinder standard circuit modeling. Inspired by the quantum collapse of superposition states for information decoding in quantum circuits, the implementation of analogous current path collapse to facilitate the detection of microscopic circuits by modifying their network topology is explored. Here, the superposition and collapse of current paths in gate-all-around polysilicon nanosheet arrays are demonstrated to enrich the computational resources within transistors by engineering the channel length and quantity. Switching the ferroelectric polarization of Hf0.5 Zr0.5 O2 gate dielectric, which drives these transistors out-of-equilibrium, decodes the output polymorphism through circuit topological modifications. Furthermore, a protocol for the single-electron readout of ferroelectric polarization is presented with tailoring the channel coherence. The introduction of lateral path superposition results into intriguing metal-to-insulator transitions due to transient behavior of ferroelectric switching. This ability to adjust the current networks within transistors and their interaction with ferroelectric polarization in polycrystalline nanostructures lays the groundwork for generating diverse current characteristics as potential physical databases for optimization-based computing.

7.
ACS Appl Mater Interfaces ; 15(26): 31617-31626, 2023 Jul 05.
Artigo em Inglês | MEDLINE | ID: mdl-37339447

RESUMO

Negative capacitance field effect transistors made of Hf0.5Zr0.5O2 (HZO) are one of the most promising candidates for low-power-density devices because of the extremely steep subthreshold swing and high open-state currents resulting from the addition of ferroelectric materials in the gate dielectric layer. In this paper, HZO thin films were prepared by magnetron sputtering combined with rapid thermal annealing. Their ferroelectric properties were adjusted by changing the annealing temperature and the thickness of HZO. Two-dimensional MoS2 back-gate negative capacitance field-effect transistors (NCFETs) based on HZO were prepared as well. Different annealing temperatures, thicknesses of HZO thin films, and Al2O3 thicknesses were studied to achieve optimal capacitance matching, aiming to reduce both the subthreshold swing of the transistor and the hysteresis of the NCFET. The NCFET exhibits a minimum subthreshold swing as low as 27.9 mV/decade, negligible hysteresis (∼20 mV), and the ION/IOFF of up to 1.58 × 107. Moreover, a negative drain-induced barrier lowering effect and a negative differential resistance effect have been observed. This steep-slope transistor is compatible with standard CMOS manufacturing processes and attractive for 2D logic and sensor applications as well as future energy-efficient nanoelectronic devices with scaled power supplies.

8.
J Phys Condens Matter ; 35(20)2023 Mar 16.
Artigo em Inglês | MEDLINE | ID: mdl-36881920

RESUMO

HfO2-based ferroelectrics, such as Hf0.5Zr0.5O2, arouse great attention in recent years because of their CMOS compatibility and robust nano-scale ferroelectricity. However, fatigue is one of the toughest problems for ferroelectric applications. The fatigue mechanism of HfO2-based ferroelectrics is different from conventional ferroelectric materials, and research on the fatigue mechanism in HfO2-based epitaxial films have been rarely reported. In this work, we fabricate 10 nm Hf0.5Zr0.5O2epitaxial films and investigate the fatigue mechanism. The experimental data show that the remanent ferroelectric polarization value decreased by 50% after 108cycles. It is worth noting that the fatigued Hf0.5Zr0.5O2epitaxial films can be recovered through applying electric stimulus. Combined with the temperature-dependent endurance analysis, we propose that fatigue of our Hf0.5Zr0.5O2films comes from both phase transition between ferroelectric Pca21and antiferroelectric Pbca as well as defects generation and dipole pinned. This result offers a fundamental understanding of HfO2-based film system, and could provide an important guideline for subsequent studies and future applications.

9.
ACS Appl Mater Interfaces ; 15(12): 15657-15667, 2023 Mar 29.
Artigo em Inglês | MEDLINE | ID: mdl-36926843

RESUMO

It is commonly believed that the impact of the top electrodes on the ferroelectricity of hafnium-based thin films is due to strain engineering. However, several anomalies have occurred that put existing theories in doubt. This work carries out a detailed study of this issue using both theoretical and experimental approaches. The 10 nm Hf0.5Zr0.5O2 (HZO) films are prepared by atomic layer deposition, and three different top capping electrodes (W/MO/ITO) are deposited by physical vapor deposition. The electrical testing finds that the strain does not completely control the ferroelectricity of the devices. The results of further piezoelectric force microscopy characterization exclude the potential interference of the top capping electrodes and interface for electrical testing. In addition, through atomic force microscopy characterization and statistical analysis, a strong correlation between the grain size of the top electrode and the grain size of the HZO film has been found, suggesting that the grain size of the top electrode can induce the formation of the grain size in HZO thin films. Finally, the first-principles calculation is carried out to understand the impact of the strain and grain size on the ferroelectric properties of HZO films. The results show that the strain is the dominant factor for ferroelectricity when the grain size is large (>10 nm). However, when the grain size becomes thinner (<10 nm), the regulation effect of grain sizes increases significantly, which could bring a series of benefits for device scaling, such as device-to-device variations, film uniformity, and domain switch consistency. This work not only completes the understanding of ferroelectricity through top electrode modulation but also provides strong support for the precise regulation of ferroelectricity of nanoscale devices and ultrathin HZO ferroelectric films.

10.
Nanoscale Res Lett ; 17(1): 124, 2022 Dec 15.
Artigo em Inglês | MEDLINE | ID: mdl-36520242

RESUMO

In this work, extremely thin silicon-on-insulator field effective transistors (ETSOI FETs) are fabricated with an ultra-thin 3 nm ferroelectric (FE) hafnium zirconium oxides (Hf0.5Zr0.5O2) layer. Furthermore, the subthreshold characteristics of the devices with double gate modulation are investigated extensively. Contributing to the advantages of the back-gate voltage coupling effects, the minimum subthreshold swing (SS) value of a 40 nm ETSOI device could be adjusted from the initial 80.8-50 mV/dec, which shows ultra-steep SS characteristics. To illustrate this electrical character, a simple analytical model based on the transient Miller model is demonstrated. This work shows the feasibility of FE ETSOI FET for ultra-low-power applications with dynamic threshold adjustment.

11.
ACS Appl Mater Interfaces ; 14(45): 51137-51148, 2022 Nov 16.
Artigo em Inglês | MEDLINE | ID: mdl-36319949

RESUMO

The comparatively high coercive field in Hf0.5Zr0.5O2 (HZO) and other HfO2-based ferroelectric thin films leads to two critical challenges for their application in embedded ferroelectric memory: high operating voltage due to a large thickness-field product and poor endurance due to the high operating field close to the breakdown field. In this study, we demonstrate that the thickness scaling of ferroelectric HZO down to 4 nm is a promising approach to overcome these challenges. As the coercive voltage scales down almost linearly with the film thickness, the operating voltage of 4 nm HZO is reduced to 0.6 V for one-shot operation and 1.2 V for stable memory operation, which is in the voltage range compatible with scaled silicon technologies. Furthermore, it is found that the breakdown field is substantially improved in thinner HZO since the breakdown mechanism is dominated by the stress voltage, not the stress field, resulting in improved cycle-to-breakdown by more than 4 orders of magnitude when thinning from 9.5 to 4 nm. We identify two concerns accompanying thickness scaling: the increase in crystallization temperature and the pinched hysteresis behavior, which can be addressed by carefully preparing temperature-thickness mapping and applying strong-field wake-up cycling, respectively. Our optimal 4 nm-thick HZO ferroelectric capacitor exhibits an operating voltage of 1.2 V with over 10 year data retention and 1012 endurance cycles at 100 kHz, which can be further improved to more than 1014 with a smaller capacitor size and higher operating frequency.

12.
Nanomaterials (Basel) ; 12(17)2022 Aug 30.
Artigo em Inglês | MEDLINE | ID: mdl-36080036

RESUMO

In the doped hafnia(HfO2)-based films, crystallization annealing is indispensable in forming ferroelectric phases. In this paper, we investigate the annealing effects of TiN/Hf0.5Zr0.5O2/TiN metal-ferroelectric-metal (MFM) capacitors by comparing microwave annealing (MWA) and rapid thermal annealing (RTA) at the same wafer temperature of 500 °C. The twofold remanent polarization (2Pr) of the MWA device is 63 µC/cm2, surpassing that of the RTA device (40 µC/cm2). Furthermore, the wake-up effect is substantially inhibited in the MWA device. The orthorhombic crystalline phase is observed in the annealed HZO films in the MWA and RTA devices, with a reduced TiN and HZO interdiffusion in MWA devices. Moreover, the MFM capacitors subjected to MWA treatment exhibit a lower leakage current, indicating a decreased defect density. This investigation shows the potential of MWA for application in ferroelectric technology due to the improvement in remanent polarization, wake-up effect, and leakage current.

13.
Nanomaterials (Basel) ; 12(17)2022 Sep 03.
Artigo em Inglês | MEDLINE | ID: mdl-36080096

RESUMO

Despite the great potential of Hf0.5Zr0.5O2 (HZO) ferroelectrics, reliability issues, such as wake-up, fatigue, endurance limitations, imprint and retention loss, impede the implementation of HZO to nonvolatile memory devices. Herein, a study of the reliability properties in HZO-based stacks with the conventional TiN top electrode and Ru electrode, which is considered a promising alternative to TiN, is performed. An attempt to distinguish the mechanisms underlying the wake-up, fatigue and retention loss in both kinds of stacks is undertaken. Overall, both stacks show pronounced wake-up and retention loss. Moreover, the fatigue and retention loss were found to be worsened by Ru implementation. The huge fatigue was suggested to be because Ru does not protect HZO against oxygen vacancies generation during prolonged cycling. The vacancies generated in the presence of Ru are most likely deeper traps, as compared to the traps formed at the interface with the TiN electrode. Implementing the new procedure, which can separate the depolarization-caused retention loss from the imprint-caused one, reveal a rise in the depolarization contribution with Ru implementation, accompanied by the maintenance of similarly high imprint, as in the case with the TiN electrode. Results show that the mechanisms behind the reliability issues in HZO-based capacitors are very electrode dependent and simple approaches to replacing the TiN electrode with the one providing, for example, just higher remnant polarization or lower leakages, become irrelevant on closer examination.

14.
ACS Appl Mater Interfaces ; 14(37): 42232-42244, 2022 Sep 21.
Artigo em Inglês | MEDLINE | ID: mdl-36069477

RESUMO

Hafnia-zirconia (HfO2-ZrO2) solid solution thin films have emerged as viable candidates for electronic applications due to their compatibility with Si technology and demonstrated ferroelectricity at the nanoscale. The oxygen source in atomic layer deposition (ALD) plays a crucial role in determining the impurity concentration and phase composition of HfO2-ZrO2 within metal-ferroelectric-metal devices, notably at the Hf0.5Zr0.5O2 /TiN interface. The interface characteristics of HZO/TiN are fabricated via sequential no-atmosphere processing (SNAP) with either H2O or O2-plasma to study the influence of oxygen source on buried interfaces. Time-of-flight secondary ion mass spectrometry reveals that HZO films grown via O2-plasma promote the development of an interfacial TiOx layer at the bottom HZO/TiN interface. The presence of the TiOx layer leads to the development of 111-fiber texture in HZO as confirmed by two-dimensional X-ray diffraction (2D-XRD). Structural and chemical differences between HZO films grown via H2O or O2-plasma were found to strongly affect electrical characteristics such as permittivity, leakage current density, endurance, and switching kinetics. While HZO films grown via H2O yielded a higher remanent polarization value of 25 µC/cm2, HZO films grown via O2-plasma exhibited a comparable Pr of 21 µC/cm2 polarization and enhanced field cycling endurance limit by almost 2 orders of magnitude. Our study illustrates how oxygen sources (O2-plasma or H2O) in ALD can be a viable way to engineer the interface and properties in HZO thin films.

15.
Adv Mater ; 34(24): e2109889, 2022 Jun.
Artigo em Inglês | MEDLINE | ID: mdl-35397192

RESUMO

Hafnia-based compounds have considerable potential for use in nanoelectronics due to their compatibility with complementary metal-oxide-semiconductor devices and robust ferroelectricity at nanoscale sizes. However, the unexpected ferroelectricity in this class of compounds often remains elusive due to the polymorphic nature of hafnia, as well as the lack of suitable methods for the characterization of the mixed/complex phases in hafnia thin films. Herein, the preparation of centimeter-scale, crack-free, freestanding Hf0.5 Zr0.5 O2 (HZO) nanomembranes that are well suited for investigating the local crystallographic phases, orientations, and grain boundaries at both the microscopic and mesoscopic scales is reported. Atomic-level imaging of the plan-view crystallographic patterns shows that more than 80% of the grains are the ferroelectric orthorhombic phase, and that the mean equivalent diameter of these grains is about 12.1 nm, with values ranging from 4 to 50 nm. Moreover, the ferroelectric orthorhombic phase is stable in substrate-free HZO membranes, indicating that strain from the substrate is not responsible for maintaining the polar phase. It is also demonstrated that HZO capacitors prepared on flexible substrates are highly uniform, stable, and robust. These freestanding membranes provide a viable platform for the exploration of HZO polymorphic films with complex structures and pave the way to flexible nanoelectronics.

16.
Nanotechnology ; 33(15)2022 Jan 18.
Artigo em Inglês | MEDLINE | ID: mdl-34959226

RESUMO

A large coercive fieldECof HfO2based ferroelectric devices poses critical performance issues in their applications as ferroelectric memories and ferroelectric field effect transistors. A new design to reduceECby fabricating nanolaminate Hf0.5Zr0.5O2/ZrO2(HZZ) thin films is used, followed by an ensuing annealing process at a comparatively high temperature 700 °C. High-resolution electron microscopy imaging detects tetragonal-like domain walls between orthorhombic polar regions. These walls decrease the potential barrier of polarization reversal in HfO2based films compared to the conventional domain walls with a single non-polar spacer, causing about a 40% decrease inEC. Capacitance versus electric field measurements on HZZ thin film uncovered a substantial increase of dielectric permittivity near theECcompared to the conventional Hf0.5Zr0.5O2thin film, justifying the higher mobility of domain walls in the developed HZZ film. The tetragonal-like regions served as grease easing the movement of the domain wall and reducingEC.

17.
ACS Appl Mater Interfaces ; 14(1): 1355-1361, 2022 Jan 12.
Artigo em Inglês | MEDLINE | ID: mdl-34958206

RESUMO

HfO2-based ferroelectric materials are good candidates for constructing next-generation nonvolatile memories and high-performance electronic synapses and have attracted extensive attention from both academia and industry. Here, a Hf0.5Zr0.5O2-based ferroelectric tunnel junction (FTJ) memristor is successfully fabricated by epitaxially growing a Hf0.5Zr0.5O2 film on a 0.7 wt % Nb-doped SrTiO3 (001) substrate with a buffer layer of La2/3Sr1/3MnO3 (∼1 u.c.). The FTJ shows a high switching speed of 20 ns, a giant electroresistance ratio of ∼834, and multiple states (eight states or three bits) with good retention >104 s. As a solid synaptic device, tunable synapse functions have also been obtained, including long-term potentiation, long-term depression, and spike-timing-dependent plasticity. These results highlight the promising applications of Hf0.5Zr0.5O2-based FTJ in ultrafast-speed and high-density nonvolatile memories and artificial synapses.

18.
Nanotechnology ; 33(5)2021 Nov 12.
Artigo em Inglês | MEDLINE | ID: mdl-34624872

RESUMO

This work illustrates the most effective way of utilizing the ferroelectricity for tunneling field-effect transistors (TFETs). The ferroelectric (Hf0.5Zr0.5O2) in shunt with gate-dielectric is utilized as an optimized metal-ferroelectric-semiconductor (OMFS) option to improve the internal voltage (Vint) for ample utilization of polarization and electric fields of Hf0.5Zr0.5O2across the tunneling region. The modeling ofVintsignifies 0.15-1.2 nm reduction in tunneling length (λ) than the nominal metal-ferroelectric-insulator-semiconductor (MFIS) option. Furthermore, the TFET geometry with the scaled-epitaxy region as vertical TFET (VTFET), strained Si0.6Ge0.4as source, and gate-all-around nanowire options are used as an added advantage for further enhancement of TFET's performance. As a result, the proposed design (OMFS-VTFET) achieves superior DC and RF performances than the MFIS option of TFET. The figure of merits in terms of DC characteristics in the proposed and optimized structure are of improved on-current (=0.23 mAµm-1), high on-to-off current ratio (=1011), steep subthreshold swing (=33.36 mV dec-1), and superior unity gain cut-off frequency (≥300 GHz). The design is revealed as energy-efficient with significant reduction of energy-efficiency in both logic and memory applications.

19.
ACS Nano ; 15(9): 14891-14902, 2021 Sep 28.
Artigo em Inglês | MEDLINE | ID: mdl-34468129

RESUMO

Composite multiferroics containing ferroelectric and ferromagnetic components often have much larger magnetoelectric coupling compared to their single-phase counterparts. Doped or alloyed HfO2-based ferroelectrics may serve as a promising component in composite multiferroic structures potentially feasible for technological applications. Recently, a strong charge-mediated magnetoelectric coupling at the Ni/HfO2 interface has been predicted using density functional theory calculations. Here, we report on the experimental evidence of such magnetoelectric coupling at the Ni/Hf0.5Zr0.5O2(HZO) interface. Using a combination of operando XAS/XMCD and HAXPES/MCDAD techniques, we probe element-selectively the local magnetic properties at the Ni/HZO interface in functional Au/Co/Ni/HZO/W capacitors and demonstrate clear evidence of the ferroelectric polarization effect on the magnetic response of a nanometer-thick Ni marker layer. The observed magnetoelectric effect and the electronic band lineup of the Ni/HZO interface are interpreted based on the results of our theoretical modeling. It elucidates the critical role of an ultrathin NiO interlayer, which controls the sign of the magnetoelectric effect as well as provides a realistic band offset at the Ni/HZO interface, in agreement with the experiment. Our results hold promise for the use of ferroelectric HfO2-based composite multiferroics for the design of multifunctional devices compatible with modern semiconductor technology.

20.
ACS Appl Mater Interfaces ; 13(30): 36499-36506, 2021 Aug 04.
Artigo em Inglês | MEDLINE | ID: mdl-34310129

RESUMO

HfO2-based ferroelectrics are highly expected to lead the new paradigm of nanoelectronic devices owing to their unexpected ability to enhance ferroelectricity in the ultimate thickness scaling limit (≤2 nm). However, an understanding of its physical origin remains uncertain because its direct microstructural and chemical characterization in such a thickness regime is extremely challenging. Herein, we solve the mystery for the continuous retention of high ferroelectricity in an ultrathin hafnium zirconium oxide (HZO) film (∼2 nm) by unveiling the evolution of microstructures and crystallographic orientations using a combination of state-of-the-art structural analysis techniques beyond analytical limits and theoretical approaches. We demonstrate that the enhancement of ferroelectricity in ultrathin HZO films originates from textured grains with a preferred orientation along an unusual out-of-plane direction of (112). In principle, (112)-oriented grains can exhibit 62% greater net polarization than the randomly oriented grains observed in thicker samples (>4 nm). Our first-principles calculations prove that the hydroxyl adsorption during the deposition process can significantly reduce the surface energy of (112)-oriented films, thereby stabilizing the high-index facet of (112). This work provides new insights into the ultimate scaling of HfO2-based ferroelectrics, which may facilitate the design of future extremely small-scale logic and memory devices.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA