Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 26
Filtrar
1.
Appl Opt ; 61(33): 10032-10042, 2022 Nov 20.
Artículo en Inglés | MEDLINE | ID: mdl-36606836

RESUMEN

We report on determining the optical constants of Ta in the sub-extreme ultraviolet (EUV) spectral range 5.0-24.0 nm from the angle-dependent reflectance (ADR) measured using monochromatized synchrotron radiation. Two sputtered samples with differing thicknesses were investigated. Complementarily x-ray reflectance was measured at shorter wavelengths and evaluated by Fourier transform to facilitate an unambiguous selection of a model for the data evaluation based on an inverse solution of the Fresnel's equations for a layered system. Bayesian inferences coupled with a Nested Sampling (NS) algorithm were utilized to derive the optical constants with their corresponding uncertainties. This report further emphasizes the applicability of an acclaimed NS algorithm on a high-dimensional inverse problem. We explore the possibility of addressing the correlations between the optical constants of thin films and their structural parameters based on other established studies.

2.
Appl Opt ; 61(8): 2060-2078, 2022 Mar 10.
Artículo en Inglés | MEDLINE | ID: mdl-35297898

RESUMEN

The determination of fundamental optical parameters is essential for the development of new optical elements such as mirrors, gratings, or photomasks. Especially in the extreme ultraviolet (EUV) and soft x-ray spectral range, the existing databases for the refractive indices of many materials and compositions are insufficient or are a mixture of experimentally measured and calculated values from atomic scattering factors. Since the physical properties of bulk materials and thin films with thicknesses in the nanometer range are not identical, measurements need to be performed on thin layers. In this study we demonstrate how optical constants of various thin film samples on a bulk substrate can be determined from reflection measurements in the EUV photon energy range from 62 eV to 124 eV. Thin films with thickness of 20 nm to 50 nm of pure Mo, Ni, Pt, Ru, Ta, and Te and different compositions of NixAlx, PtTe, PtxMo, RuxTax, Ru3Re, Ru2W, and TaTeN were prepared by DC magnetron sputtering and measured using EUV reflectometry. The determination optical constants of the different materials are discussed and compared to existing tabulated values.

3.
Opt Express ; 29(22): 35580-35591, 2021 Oct 25.
Artículo en Inglés | MEDLINE | ID: mdl-34808989

RESUMEN

Increasing miniaturization and complexity of nanostructures require innovative metrology solutions with high throughput that can assess complex 3D structures in a non-destructive manner. EUV scatterometry is investigated for the characterization of nanostructured surfaces and compared to grazing-incidence small-angle X-ray scattering (GISAXS). The reconstruction is based on a rigorous simulation using a Maxwell solver based on finite-elements and is statistically validated with a Markov-Chain-Monte-Carlo sampling method. It is shown that in comparison to GISAXS, EUV allows to probe smaller areas and to reduce the computation times obtaining comparable uncertainties.

4.
Nanotechnology ; 31(50): 505709, 2020 Dec 11.
Artículo en Inglés | MEDLINE | ID: mdl-33021220

RESUMEN

The increasing importance of well-controlled ordered nanostructures on surfaces represents a challenge for existing metrology techniques. To develop such nanostructures and monitor complex processing constraints fabrication, both a dimensional reconstruction of nanostructures and a characterization (ideally a quantitative characterization) of their composition is required. In this work, we present a soft x-ray fluorescence-based methodology that allows both of these requirements to be addressed at the same time. By applying the grazing-incidence x-ray fluorescence technique and thus utilizing the x-ray standing wave field effect, nanostructures can be investigated with a high sensitivity with respect to their dimensional and compositional characteristics. By varying the incident angles of the exciting radiation, element-sensitive fluorescence radiation is emitted from different regions inside the nanoobjects. By applying an adequate modeling scheme, these datasets can be used to determine the nanostructure characteristics. We demonstrate these capabilities by performing an element-sensitive reconstruction of a lamellar grating made of Si3N4, where GIXRF data for the O-Kα and N-Kα fluorescence emission allows a thin oxide layer to be reconstructed on the surface of the grating structure. In addition, we employ the technique also to three dimensional nanostructures and derive both dimensional and compositional parameters in a quantitative manner.

5.
Opt Express ; 27(22): 32490-32507, 2019 Oct 28.
Artículo en Inglés | MEDLINE | ID: mdl-31684461

RESUMEN

Periodic nanostructures are fundamental elements in optical instrumentation as well as basis structures in integrated electronic circuits. Decreasing sizes and increasing complexity of nanostructures have made roughness a limiting parameter to the performance. Grazing-incidence small-angle X-ray scattering is a characterization method that is sensitive to three-dimensional structures and their imperfections. To quantify line-edge roughness, a Debye-Waller factor (DWF), which is derived for binary gratings, is usually used. In this work, we systematically analyze the effect of roughness on the diffracted intensities. Two different limits to the application of the DWF are found depending on whether the roughness is normally distributed or not.

6.
J Synchrotron Radiat ; 25(Pt 1): 77-84, 2018 Jan 01.
Artículo en Inglés | MEDLINE | ID: mdl-29271755

RESUMEN

The durability of grazing- and normal-incidence optical coatings has been experimentally assessed under free-electron laser irradiation at various numbers of pulses up to 16 million shots and various fluence levels below 10% of the single-shot damage threshold. The experiment was performed at FLASH, the Free-electron LASer in Hamburg, using 13.5 nm extreme UV (EUV) radiation with 100 fs pulse duration. Polycrystalline ruthenium and amorphous carbon 50 nm thin films on silicon substrates were tested at total external reflection angles of 20° and 10° grazing incidence, respectively. Mo/Si periodical multilayer structures were tested in the Bragg reflection condition at 16° off-normal angle of incidence. The exposed areas were analysed post-mortem using differential contrast visible light microscopy, EUV reflectivity mapping and scanning X-ray photoelectron spectroscopy. The analysis revealed that Ru and Mo/Si coatings exposed to the highest dose and fluence level show a few per cent drop in their EUV reflectivity, which is explained by EUV-induced oxidation of the surface.

7.
Opt Express ; 25(13): 15441-15455, 2017 Jun 26.
Artículo en Inglés | MEDLINE | ID: mdl-28788969

RESUMEN

We investigate the influence of the Mo-layer thickness on the EUV reflectance of Mo/Si mirrors with a set of unpolished and interface-polished Mo/Si/C multilayer mirrors. The Mo-layer thickness is varied in the range from 1.7 nm to 3.05 nm. We use a novel combination of specular and diffuse intensity measurements to determine the interface roughness throughout the multilayer stack and do not rely on scanning probe measurements at the surface only. The combination of EUV and X-ray reflectivity measurements and near-normal incidence EUV diffuse scattering allows to reconstruct the Mo layer thicknesses and to determine the interface roughness power spectral density. The data analysis is conducted by applying a matrix method for the specular reflection and the distorted-wave Born approximation for diffuse scattering. We introduce the Markov-chain Monte Carlo method into the field in order to determine the respective confidence intervals for all reconstructed parameters. We unambiguously detect a threshold thickness for Mo in both sample sets where the specular reflectance goes through a local minimum correlated with a distinct increase in diffuse scatter. We attribute that to the known appearance of an amorphous-to-crystallization transition at a certain thickness threshold which is altered in our sample system by the polishing.

8.
Appl Opt ; 55(21): 5548-53, 2016 Jul 20.
Artículo en Inglés | MEDLINE | ID: mdl-27463903

RESUMEN

Off-plane reflection gratings were previously predicted to have different efficiencies when the incident light is polarized in the transverse-magnetic (TM) versus transverse-electric (TE) orientations with respect to the grating grooves. However, more recent theoretical calculations which rigorously account for finitely conducting, rather than perfectly conducting, grating materials no longer predict significant polarization sensitivity. We present the first empirical results for radially ruled, laminar groove profile gratings in the off-plane mount, which demonstrate no difference in TM versus TE efficiency across our entire 300-1500 eV bandpass. These measurements together with the recent theoretical results confirm that grazing incidence off-plane reflection gratings using real, not perfectly conducting, materials are not polarization sensitive.

9.
Opt Express ; 23(12): 15195-204, 2015 Jun 15.
Artículo en Inglés | MEDLINE | ID: mdl-26193502

RESUMEN

The fabrication and characterization of a large-area high-dispersion blazed grating for soft X-rays based on an asymmetric-cut multilayer structure is reported. An asymmetric-cut multilayer structure acts as a perfect blazed grating of high efficiency that exhibits a single diffracted order, as described by dynamical diffraction throughout the depth of the layered structure. The maximum number of grating periods created by cutting a multilayer deposited on a flat substrate is equal to the number of layers deposited, which limits the size of the grating. The size limitation was overcome by depositing the multilayer onto a substrate which itself is a coarse blazed grating and then polish it flat to reveal the uniformly spaced layers of the multilayer. The number of deposited layers required is such that the multilayer thickness exceeds the step height of the substrate structure. The method is demonstrated by fabricating a 27,060 line pairs per mm blazed grating (36.95 nm period) that is repeated every 3,200 periods by the 120-µm period substrate structure. This preparation technique also relaxes the requirements on stress control and interface roughness of the multilayer film. The dispersion and efficiency of the grating is demonstrated for soft X-rays of 13.2 nm wavelength.

10.
Anal Bioanal Chem ; 407(11): 3045-53, 2015 Apr.
Artículo en Inglés | MEDLINE | ID: mdl-25326887

RESUMEN

Checking the performance of energy dispersive X-ray spectrometers as well as validation of the results obtained with energy dispersive X-ray spectrometry (EDX) at a scanning electron microscope (SEM) involve the use of (certified) reference and dedicated test materials. This paper gives an overview on the test materials mostly employed by SEM/EDX users and accredited laboratories as well as on those recommended in international standards. The new BAM reference material EDS-CRM, which is currently in the process of certification, is specifically designed for the characterization of EDS systems at a SEM through calibration of the spectrometer efficiency in analytical laboratories in a simple manner. The certification of the spectra by means of a reference EDS is described. The focus is on the traceability of EDS efficiency which is ensured by measurements of the absolute detection efficiency of silicon drift detectors (SDD) and Si(Li) detectors at the laboratory of the PTB using the electron storage ring BESSY II as a primary X-ray source standard. A new test material in development at BAM for testing the performance of an EDS in the energy range below 1 keV is also briefly presented.

11.
Appl Opt ; 53(14): 3019-27, 2014 May 10.
Artículo en Inglés | MEDLINE | ID: mdl-24922021

RESUMEN

In this paper, we present measurements of angle- and wavelength-resolved diffuse scattering of EUV radiation on a Mo/Si multilayer. Our sample is optimized for high reflectivity at 13.5 nm wavelength near-normal incidence. We present a rigorous theoretical analysis of the off-specular EUV scattering on the basis of the distorted-wave Born approximation. We prove that the determination of the interface roughness power spectral density (PSD) is only possible by considering geometry-dependent and dynamic contributions. The scattering from multilayer mirrors leads to an intrinsic enhancement in off-specular intensity independent of roughness properties. The thickness oscillations in the scattering intensity (Kiessig fringes) are found to cause additional dynamic enhancement in analogy to Bragg-like peaks for grazing incidence geometry. Considering these effects, the interface PSD is consistently determined.

12.
Rev Sci Instrum ; 94(1): 013904, 2023 Jan 01.
Artículo en Inglés | MEDLINE | ID: mdl-36725555

RESUMEN

Smaller and more complex nanostructures in the semiconductor industry require a constant upgrade of accompanying metrological methods and equipment. A central task for nanometrology is the precise determination of structural features of gratings in the nanometer range as well as their elemental composition. Scatterometry and x-ray fluorescence in the soft x-ray and extreme ultraviolet spectral ranges are ideally suited to this task. We here present a new, compact measurement chamber that can simultaneously detect the elastically scattered signal and the fluorescence, originating from nanoscale grating samples. Its geometry enables detecting scattered intensity over a wide angular range with a variable angle of incidence. We show first experiments on industry-relevant test structures from the commissioning process alongside the specifications of the setup, located at PTB's soft x-ray radiometry beamline at the synchrotron radiation facility BESSY II in Berlin.

13.
Opt Express ; 20(12): 12771-86, 2012 Jun 04.
Artículo en Inglés | MEDLINE | ID: mdl-22714306

RESUMEN

Scatterometry is frequently used as a non-imaging indirect optical method to reconstruct the critical dimensions (CD) of periodic nanostructures. A particular promising direction is EUV scatterometry with wavelengths in the range of 13 - 14 nm. The conventional approach to determine CDs is the minimization of a least squares function (LSQ). In this paper, we introduce an alternative method based on the maximum likelihood estimation (MLE) that determines the statistical error model parameters directly from measurement data. By using simulation data, we show that the MLE method is able to correct the systematic errors present in LSQ results and improves the accuracy of scatterometry. In a second step, the MLE approach is applied to measurement data from both extreme ultraviolet (EUV) and deep ultraviolet (DUV) scatterometry. Using MLE removes the systematic disagreement of EUV with other methods such as scanning electron microscopy and gives consistent results for DUV.

14.
Opt Lett ; 37(24): 5229-31, 2012 Dec 15.
Artículo en Inglés | MEDLINE | ID: mdl-23258061

RESUMEN

The accurate determination of critical dimensions and roughness is necessary to ensure the quality of photoresist masks that are crucial for the operational reliability of electronic components. Scatterometry provides a fast indirect optical nondestructive method for the determination of profile parameters that are obtained from scattered light intensities using inverse methods. We illustrate the effect of line roughness on the reconstruction of grating parameters employing a maximum likelihood scheme. Neglecting line roughness introduces a strong bias in the parameter estimations. Therefore, such roughness has to be included in the mathematical model of the measurement in order to obtain accurate reconstruction results. In addition, the method allows to determine line roughness from scatterometry. The approach is demonstrated for simulated scattering intensities as well as for experimental data of extreme ultraviolet light scatterometry measurements. The results obtained from the experimental data are in agreement with independent atomic force microscopy measurements.

15.
Appl Opt ; 51(27): 6457-64, 2012 Sep 20.
Artículo en Inglés | MEDLINE | ID: mdl-23033013

RESUMEN

The influence of edge roughness in angle-resolved scatterometry at periodically structured surfaces is investigated. A good description of the radiation interaction with structured surfaces is crucial for the understanding of optical imaging processes such as, e.g., in photolithography. We compared an analytical two-dimensional (2D) model and a numerical three-dimensional simulation with respect to the characterization of 2D diffraction of a line grating involving structure roughness. The results show a remarkably high agreement. The diffraction intensities of a rough structure can therefore be estimated using the numerical simulation result of an undisturbed structure and an analytically derived correction function. This work allows to improve scatterometric results for the case of practically relevant 2D structures.

16.
Data Brief ; 43: 108368, 2022 Aug.
Artículo en Inglés | MEDLINE | ID: mdl-35757513

RESUMEN

Coordinates of surface outcrops in the Tambach Formation (Rotliegend Group, early Permian) as well as stratigraphic dip azimuth and dip angle values were measured newly during recent mapping activities in the Tambach-Dietharz sedimentary basin. In total, 304 localities of the Tambach Formation were measured. The dataset includes stratigraphic dip measurements of in-situ beds from all subunits of the Tambach Formation (i.e., Bielstein Conglomerate Member, Tambach Sandstone Member, Finsterbergen Conglomerate Member). The sedimentary rocks are composed of fine- to coarse-grained, reddish coloured siliciclastics resulting from alluvial and fluvial sediment transportation and deposition in an intracontinental palaeoenvironment. Longitude and latitude coordinates of the outcrop sections were measured with a handheld GPS device. Values of both the dipping azimuth and the dipping angles were measured with a geologic compass. The dataset has reuse potential for both cataloguing surface outcrops of the Tambach Formation and geologic mapping in the Tambach-Dietharz sedimentary basin. Additionally, the dipping azimuth and the dipping angle data can be reused for analysing the sedimentary basin geometry and digital geological modelling (2D, 3D) of the Tambach Formation.

17.
Zootaxa ; 4964(3): zootaxa.4964.3.3, 2021 Apr 23.
Artículo en Inglés | MEDLINE | ID: mdl-33903505

RESUMEN

We describe four upper Lower Triassic to lower Middle Triassic clam shrimp-bearing intervals from Mallorca, which include the clam shrimp species Hornestheria sp. aff. Hornestheria sollingensis and several other forms of carapace valve morphology: Hornestheria? Morphotype 1, Hornestheria? Morphotype 2, and other undetermined carapace valves. All of this material was obtained from red-bed units cropping out in the Serra de Tramuntana mountains of Mallorca (western Mediterranean). Except for a few morphologically similar carapace valves of Middle Triassic age from China, Hornestheria is known only from the type locality of its type species, Hornestheria sollingensis Kozur et Lepper, in the Solling Formation (Middle Buntsandstein Subgroup) in the German part of the Central European Basin. According to its original definition, the larval carapace valve of Hornestheria Kozur et Lepper is characterized by a radial sculpture, but this characteristic apparently is only variably developed. Due to both a limited number of previously known occurrences of Hornestheria and its poorly known carapace valve morphology, open nomenclature is applied to the taxonomy herein. The studied specimens were freshly collected from outcrop sections composed of greyish-green to greyish-red laminated claystones and siltstones that accumulated in a fluvial facies. The clam shrimp specimens are accompanied by remains of insects and fishes, invertebrate and tetrapod ichnofossils, and micro-/macroplant remains, all of which either have been described by previous workers or are currently part of a separate study.


Asunto(s)
Crustáceos , Fósiles , Animales , Biodiversidad , Crustáceos/anatomía & histología , Crustáceos/clasificación , Fósiles/anatomía & histología , Filogenia , España , Especificidad de la Especie
18.
IUCrJ ; 5(Pt 4): 521, 2018 07 01.
Artículo en Inglés | MEDLINE | ID: mdl-30002853

RESUMEN

[This corrects the article DOI: 10.1107/S2052252517006297.].

19.
Nanoscale ; 10(13): 6177-6185, 2018 Mar 29.
Artículo en Inglés | MEDLINE | ID: mdl-29561052

RESUMEN

The geometry of a Si3N4 lamellar grating was investigated experimentally with reference-free grazing-incidence X-ray fluorescence analysis. While simple layered systems are usually treated with the matrix formalism to determine the X-ray standing-wave field, this approach fails for laterally structured surfaces. Maxwell solvers based on finite elements are often used to model electrical field strengths for any 2D or 3D structures in the optical spectral range. We show that this approach can also be applied in the field of X-rays. The electrical field distribution obtained with the Maxwell solver can subsequently be used to calculate the fluorescence intensities in full analogy to the X-ray standing-wave field obtained by the matrix formalism. Only the effective 1D integration for the layer system has to be replaced by a 2D integration of the finite elements, taking into account the local excitation conditions. We will show that this approach is capable of reconstructing the geometric line shape of a structured surface with high elemental sensitivity. This combination of GIXRF and finite-element simulations paves the way for a versatile characterization of nanoscale-structured surfaces.

20.
IUCrJ ; 4(Pt 4): 431-438, 2017 Jul 01.
Artículo en Inglés | MEDLINE | ID: mdl-28875030

RESUMEN

Grazing-incidence small-angle X-ray scattering (GISAXS) is often used as a versatile tool for the contactless and destruction-free investigation of nano-structured surfaces. However, due to the shallow incidence angles, the footprint of the X-ray beam is significantly elongated, limiting GISAXS to samples with typical target lengths of several millimetres. For many potential applications, the production of large target areas is impractical, and the targets are surrounded by structured areas. Because the beam footprint is larger than the targets, the surrounding structures contribute parasitic scattering, burying the target signal. In this paper, GISAXS measurements of isolated as well as surrounded grating targets in Si substrates with line lengths from 50 µm down to 4 µm are presented. For the isolated grating targets, the changes in the scattering patterns due to the reduced target length are explained. For the surrounded grating targets, the scattering signal of a 15 µm × 15 µm target grating structure is separated from the scattering signal of 100 µm × 100 µm nanostructured surroundings by producing the target with a different orientation with respect to the predominant direction of the surrounding structures. As virtually all litho-graphically produced nanostructures have a predominant direction, the described technique allows GISAXS to be applied in a range of applications, e.g. for characterization of metrology fields in the semiconductor industry, where up to now it has been considered impossible to use this method due to the large beam footprint.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA