Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 27
Filtrar
Más filtros










Base de datos
Intervalo de año de publicación
1.
Opt Express ; 31(22): 36061-36077, 2023 Oct 23.
Artículo en Inglés | MEDLINE | ID: mdl-38017764

RESUMEN

This paper reminds the principle and characteristics of plasmonic lithography, and points out the importance of establishing a fast and high precision plasmonic lithography imaging model and developing computational lithography. According to the characteristics of plasmonic lithography, the rigorous coupled-wave analysis (RCWA) algorithm is a very suitable alternative algorithm. In this paper, a three-dimensional plasmonic lithography model based on RCWA algorithm is established for computational lithography requirements. This model improves the existing RCWA algorithm, that is, deduces the formula for calculating the light field inside the structure and proposes the integration, storage and invocation of the scattering matrix to improve the computation speed. Finally, the results are compared with commercial software for the two typical patterns. The results show that the two calculation results are very close, with the root mean square error (RMSE) less than 0.04 (V/m)2. In addition, the calculation speed can be increased by more than 2 times in the first calculation, and by about 8 times by integrating, storing and invoking the scattering matrix, which creates conditions for the development of plasmonic computational lithography.

2.
ACS Appl Mater Interfaces ; 15(48): 56567-56574, 2023 Dec 06.
Artículo en Inglés | MEDLINE | ID: mdl-37988059

RESUMEN

SiGe/Si multilayer is the core structure of the active area of gate-all-around field-effect transistors and semiconductor quantum computing devices. In this paper, high-quality SiGe/Si multilayers have been grown by a reduced-pressure chemical vapor deposition system. The effects of temperature, pressure, interface processing (dichlorosilane (SiH2Cl2, DCS) and hydrogen chloride (HCl)) on improving the transition thickness of SiGe to Si interfaces were investigated. The interface quality was characterized by transmission electron microscopy/atomic force microscopy/high-resolution X-ray diffraction methods. It was observed that limiting the migration of Ge atoms in the interface was critical for optimizing a sharp interface, and the addition of DCS was found to decrease the interface transition thickness. The change of the interfacial transition layer is not significant in the short treatment time of HCl. When the processing time of HCl is increased, the internal interface is optimized to a certain extent but the corresponding film thickness is also reduced. This study provides technical support for the acquisition of an abrupt interface and will have a very favorable influence on the performance improvement of miniaturized devices in the future.

3.
ACS Nano ; 17(22): 22259-22267, 2023 Nov 28.
Artículo en Inglés | MEDLINE | ID: mdl-37823534

RESUMEN

A special Ge nanowire/nanosheet (NW/NS) p-type vertical sandwich gate-all-around (GAA) field-effect transistor (FET) (Ge NW/NS pVSAFET) with self-aligned high-κ metal gates (HKMGs) is proposed. The Ge pVSAFETs were fabricated by high-quality GeSi/Ge epitaxy, an exclusively developed self-limiting isotropic quasi atomic layer etching (qALE) of Ge selective to both GeSi and the (111) plane, top-drain implantation, and ozone postoxidation (OPO) channel passivation. The Ge pVSAFETs, which have hourglass-shaped (111) channels with the smallest size range from 5 to 20 nm formed by qALE, have reached a record high Ion of ∼291 µA/µm and exhibited good short channel effects (SCEs) control. The integration flow is compatible with mainstream CMOS processes, and Ge pVSAFETs with precise control of gate lengths/channel sizes were obtained.

4.
Appl Opt ; 62(15): 3839-3847, 2023 May 20.
Artículo en Inglés | MEDLINE | ID: mdl-37706692

RESUMEN

Different from traditional lithography, metal material with high absorptivity and high reflectivity is introduced into plasmonic lithography technology. In particular, a silver/photo resist/silver film stack can form a Fabry-Perot (F-P) resonator structure, which can greatly change the behavior of the light reflection and transmission. Since the silver layer has a strong absorption ability to the alignment probe light with a wavelength of 532 or 633 nm, the quality of the alignment signal is seriously affected. In this paper, a thin film Fourier transfer model is established to quantitatively calculate the amplitude and phase information of the diffraction light with different orders. The results show that the diffraction optical power can be enhanced by the thickness optimization of all film stacks, and the maximum wafer quality (normalized diffraction efficiency) can be increased to 25.7%. The mechanism analysis of alignment signal enhancement is based on the F-P resonator phase oscillation amplification effect. However, it can also bring the reverse of both the power and phase for the alignment probe signal when the thickness fluctuation of the F-P resonator exists, which will be a great challenge for through-the-mask moiré fringe alignment technology. To obtain the optical power distribution of the structure surface and image of moiré fringes, a transfer matrix method is given to point-by-point calculate the incidence and reflection of the probe light in the vertical direction. The finite-difference time-domain method is also used to demonstrate alignment performance. It is proved that the subtle fluctuation of the photoresist thickness can make a huge difference to moiré fringes. A balance between the diffraction efficiency and process robustness can be achieved for plasmonic lithographic alignment technology by controlling the thickness range of the F-P resonator structure. In addition, the metal-insulator-metal structure has excellent thickness sensitivity and is applicable to optical signal detection and material property monitoring.

5.
Nanoscale Adv ; 5(17): 4424-4434, 2023 Aug 24.
Artículo en Inglés | MEDLINE | ID: mdl-37638165

RESUMEN

Nanolithography techniques providing good scalability and feature size controllability are of great importance for the fabrication of integrated circuits (IC), MEMS/NEMS, optical devices, nanophotonics, etc. Herein, a cost-effective, easy access, and high-fidelity patterning strategy that combines the high-resolution capability of maskless plasmonic lithography with the spatial morphology controllability of grayscale lithography is proposed to generate the customized pattern profile from microscale to nanoscale. Notably, the scaling effect of gap size in plasmonic lithography with a contact bowtie-shaped nanoaperture (BNA) is found to be essential to the rapid decay characteristics of an evanescent field, which leads to a wide energy bandwidth of the required optimal dose to record pattern in per unit volume, and hence, achieves the volumetrically scalable control of the photon energy deposition in the space more precisely. Based on the proper calibration and cooperation of pattern width and depth, a grayscale-patterned map is designed to compensate for the dose difference caused by the loss of the high spatial frequency component of the evanescent field. A Lena nanostructure with varying feature sizes by spatially modulating the exposure dose distribution was successfully demonstrated, and besides, we also successfully generated a microlens array (MLA) with high uniformity. The practical patterning method makes plasmonic lithography significant in the fabrication of functional nanostructures with high performance, including metasurfaces, plasmonics, and optical imaging systems.

6.
ACS Appl Mater Interfaces ; 15(23): 28799-28805, 2023 Jun 14.
Artículo en Inglés | MEDLINE | ID: mdl-37166277

RESUMEN

We develop a method to fabricate an undoped Ge quantum well (QW) under a 32 nm relaxed Si0.2Ge0.8 shallow barrier. The bottom barrier contains Si0.2Ge0.8 (650 °C) and Si0.1Ge0.9 (800 °C) such that variation of Ge content forms a sharp interface that can suppress the threading dislocation density (TDD) penetrating into the undoped Ge quantum well. The SiGe barrier introduces enough in-plane parallel strain (ε∥ strain -0.41%) in the Ge quantum well. The heterostructure field-effect transistors with a shallow buried channel obtain an ultrahigh two-dimensional hole gas (2DHG) mobility over 2 × 106 cm2/(V s) and a very low percolation density of (5.689 ± 0.062) × 1010 cm-2. The fractional indication is also observed at high density and high magnetic fields. This strained germanium as a noise mitigation material provides a platform for integration of quantum computation with a long coherence time and fast all-electrical manipulation.

7.
Nanomaterials (Basel) ; 13(9)2023 May 06.
Artículo en Inglés | MEDLINE | ID: mdl-37177114

RESUMEN

Detailed investigations of the pre-oxidation phosphorus implantation process are required to increase the oxidation rate in 4H-SiC metal-oxide-semiconductor (MOS) capacitors. This study focuses on the SiO2/SiC interface characteristics of pre-oxidation using phosphorus implantation methods. The inversion channel mobility of a metal-oxide-semiconductor field effect transistor (MOSFET) was decreased via a high interface state density and the coulomb-scattering mechanisms of the carriers. High-resolution transmission electron microscopy (HRTEM) and scanning transmission electron microscopy (STEM) were used to evaluate the SiO2/SiC interface's morphology. According to the energy-dispersive X-ray spectrometry (EDS) results, it was found that phosphorus implantation reduced the accumulation of carbon at the SiO2/SiC interface. Moreover, phosphorus distributed on the SiO2/SiC interface exhibited a Gaussian profile, and the nitrogen concentration at the SiO2/SiC interface may be correlated with the content of phosphorus. This research presents a new approach for increasing the oxidation rate of SiC and reducing the interface state density.

8.
Microsyst Nanoeng ; 9: 40, 2023.
Artículo en Inglés | MEDLINE | ID: mdl-37007604

RESUMEN

Plasmonic lithography, which uses the evanescent electromagnetic (EM) fields to generate image beyond the diffraction limit, has been successfully demonstrated as an alternative lithographic technology for creating sub-10 nm patterns. However, the obtained photoresist pattern contour in general exhibits a very poor fidelity due to the near-field optical proximity effect (OPE), which is far below the minimum requirement for nanofabrication. Understanding the near-field OPE formation mechanism is important to minimize its impact on nanodevice fabrication and improve its lithographic performance. In this work, a point-spread function (PSF) generated by a plasmonic bowtie-shaped nanoaperture (BNA) is employed to quantify the photon-beam deposited energy in the near-field patterning process. The achievable resolution of plasmonic lithography has successfully been enhanced to approximately 4 nm with numerical simulations. A field enhancement factor (F) as a function of gap size is defined to quantitatively evaluate the strong near-field enhancement effect excited by a plasmonic BNA, which also reveals that the high enhancement of the evanescent field is due to the strong resonant coupling between the plasmonic waveguide and the surface plasmon waves (SPWs). However, based on an investigation of the physical origin of the near-field OPE, and the theoretical calculations and simulation results indicate that the evanescent-field-induced rapid loss of high-k information is one of the main optical contributors to the near-field OPE. Furthermore, an analytic formula is introduced to quantitatively analyze the effect of the rapidly decaying feature of the evanescent field on the final exposure pattern profile. Notably, a fast and effective optimization method based on the compensation principle of the exposure dose is proposed to reduce the pattern distortion by modulating the exposure map with dose leveling. The proposed pattern quality enhancement method can open new possibilities in the manufacture of nanostructures with ultrahigh pattern quality via plasmonic lithography, which would find potentially promising applications in high density optical storage, biosensors, and plasmonic nanofocusing.

9.
Opt Express ; 31(3): 4507-4520, 2023 Jan 30.
Artículo en Inglés | MEDLINE | ID: mdl-36785417

RESUMEN

The scintillation of the orbital angular momentum (OAM) of a Bessel Gaussian beam was derived based on the Rytov method to characterize the performance of the OAM communication. Moreover, a multi-parameter demultiplexing method was also proposed which could decode the OAM state, the amplitude and two additional beam width information dimensions. The advantages of the OAM states as the communication carrier over the beam intensity were that the minimum scintillation of the fundamental mode was smaller, and its corresponding radius also diverged slower. The coefficient of variation of the decoding amplitude was approximated to the square root of the radial minimum scintillation, and it provided an estimated decoding precision for the input sample selection. This study not only provided theoretical basis for communication link design, but also had a promising application on the large capacity beam multiplexing in free-space laser communication.

10.
Artículo en Inglés | MEDLINE | ID: mdl-36767962

RESUMEN

OBJECTIVE: A pulse waveform is regarded as an information carrier of the cardiovascular system, which contains multiple interactive cardiovascular parameters reflecting physio-pathological states of bodies. Hence, multiple parameter analysis is increasingly meaningful to date but still cannot be easily achieved one by one due to the complex mapping between waveforms. This paper describes a new analysis method based on waveform recognition aimed for extracting multiple cardiovascular parameters to monitor public health. The objective of this new method is to deduce multiple cardiovascular parameters for a target pulse waveform based on waveform recognition to a most similar reference waveform in a given database or pattern library. METHODS: The first part of the methodology includes building the sub-pattern libraries and training classifier. This provides a trained classifier and the sub-pattern library with reference pulse waveforms and known parameters. The second part is waveform analysis. The target waveform will be classified and output a state category being used to select the corresponding sub-pattern library with the same state. This will reduce subsequent recognition scope and computation costs. The mainstay of this new analysis method is improved dynamic time warping (DTW). This improved DTW and K-Nearest Neighbors (KNN) were applied to recognize the most similar waveform in the pattern library. Hence, cardiovascular parameters can be assigned accordingly from the most similar waveform in the pattern library. RESULTS: Four hundred and thirty eight (438) randomly selected pulse waveforms were tested to verify the effectiveness of this method. The results show that the classification accuracy is 96.35%. Using statistical analysis to compare the target sample waveforms and the recognized reference ones from within the pattern library, most correlation coefficients are beyond 0.99. Each set of cardiovascular parameters was assessed using the Bland-Altman plot. The extracted cardiovascular parameters are in strong agreement with the original verifying the effectiveness of this new approach. CONCLUSION: This new method using waveform recognition shows promising results that can directly extract multiple cardiovascular parameters from waveforms with high accuracy. This new approach is efficient and effective and is very promising for future continuous monitoring of cardiovascular health.


Asunto(s)
Sistema Cardiovascular , Frecuencia Cardíaca , Análisis de la Onda del Pulso/métodos
11.
Opt Express ; 31(1): 192-210, 2023 Jan 02.
Artículo en Inglés | MEDLINE | ID: mdl-36606960

RESUMEN

Plasmonic lithography can make the evanescent wave at the mask be resonantly amplified by exciting surface plasmon polaritons (SPPs) and participate in imaging, which breaks through the diffraction limit in conventional lithography. It provides a reliable technical way for the study of low-cost, large-area and efficient nanolithography technology. This paper introduces the characteristics of plasmonic lithography, the similarities and the differences with traditional DUV projection lithography. By comparing and analyzing the already existed fast imaging model of mask diffraction near-field (DNF) of DUV/EUV lithography, this paper introduces the decomposition machine learning method of mask diffraction near-field into the fast imaging of plasmonic lithography. A fast imaging model of plasmonic lithography for arbitrary two-dimensional pattern is proposed for the first time. This model enables fast imaging of the input binary 0&1 matrix of the mask directly to the light intensity distribution of photoresist image (PRI). The illumination method employs the normal incidence with x polarization, the normal incidence with y polarization and the quadrupole illumination with TM polarization respectively. The error and the operating efficiency between this fast imaging model and the rigorous electromagnetic model is compared. The test results show that compared with the rigorous electromagnetic computation model, the fast imaging model can greatly improve the calculation efficiency and maintain high accuracy at the same time, which provides great conditions for the development of computational lithography such as SMO/OPC for plasmonic lithography technology.

12.
Micromachines (Basel) ; 13(10)2022 Sep 22.
Artículo en Inglés | MEDLINE | ID: mdl-36295932

RESUMEN

The development of the low dislocation density of the Si-based GaAs buffer is considered the key technical route for realizing InAs/GaAs quantum dot lasers for photonic integrated circuits. To prepare the high-quality GaAs layer on the Si substrate, we employed an engineered Ge-buffer on Si, used thermal cycle annealing, and introduced filtering layers, e.g., strained-layer superlattices, to control/reduce the threading dislocation density in the active part of the laser. In this way, a low defect density of 2.9 × 107 cm-2 could be achieved in the GaAs layer with a surface roughness of 1.01 nm. Transmission electron microscopy has been applied to study the effect of cycling, annealing, and filtering layers for blocking or bending threading-dislocation into the InAs QDs active region of the laser. In addition, the dependence of optical properties of InAs QDs on the growth temperature was also investigated. The results show that a density of 3.4 × 1010 InAs quantum dots could be grown at 450 °C, and the photoluminescence exhibits emission wavelengths of 1274 nm with a fullwidth at half-maximum (FWHM) equal to 32 nm at room temperature. The laser structure demonstrates a peak at 1.27 µm with an FWHM equal to 2.6 nm under a continuous-wave operation with a threshold current density of ∼158 A/cm2 for a 4-µm narrow-ridge width InAs QD device. This work, therefore, paves the path for a monolithic solution for photonic integrated circuits when III-V light sources (which is required for Si photonics) are grown on a Ge-platform (engineered Ge-buffer on Si) for the integration of the CMOS part with other photonic devices on the same chip in near future.

13.
Nanomaterials (Basel) ; 12(15)2022 Aug 05.
Artículo en Inglés | MEDLINE | ID: mdl-35957135

RESUMEN

The realization of high-performance Si-based III-V quantum-dot (QD) lasers has long attracted extensive interest in optoelectronic circuits. This manuscript presents InAs/GaAs QD lasers integrated on an advanced GaAs virtual substrate. The GaAs layer was originally grown on Ge as another virtual substrate on Si wafer. No patterned substrate or sophisticated superlattice defect-filtering layer was involved. Thanks to the improved quality of the comprehensively modified GaAs crystal with low defect density, the room temperature emission wavelength of this laser was allocated at 1320 nm, with a threshold current density of 24.4 A/cm-2 per layer and a maximum single-facet output power reaching 153 mW at 10 °C. The maximum operation temperature reaches 80 °C. This work provides a feasible and promising proposal for the integration of an efficient O-band laser with a standard Si platform in the near future.

14.
Micromachines (Basel) ; 13(5)2022 May 09.
Artículo en Inglés | MEDLINE | ID: mdl-35630215

RESUMEN

In this study, a dedicated dynamic measurement system was used to investigate the transient capacitance and recovery process of AlGaN/GaN lateral Schottky barrier diodes (SBDs). With the consideration of acceptor traps in the C-doped buffer, the C-V characteristics and transient capacitance were measured and analyzed, and the results were simulated and explained by Silvaco TCAD (technology computer aided design). The ionization of acceptor traps and the change of electric potential were monitored in transient simulation to investigate the origin of the capacitance collapse in the SBD. The results suggest the significant impact of traps in the GaN buffer layer on the capacitance collapse of the device, and the secondary capture effect on the variation of acceptor ionization. Based on the study of transient capacitance of SBD, this work could be extended to the Miller capacitance in high electron mobility transistor (HEMT) devices. Moreover, the report on the stability of capacitance is essential for GaN devices, and could be further extended to other aspects of device research.

15.
Comput Methods Programs Biomed ; 220: 106784, 2022 Jun.
Artículo en Inglés | MEDLINE | ID: mdl-35405435

RESUMEN

OBJECTIVE: Pulse waveform contains abundant physiological and pathological information. The condition of surrounding arteries can be reflected sensitively by the contour and derivative changes of pulse waves. In order to express these changes objectively, the pulse wave needs to be quantified. METHODS: This study provides a novel quantification method for pulse waveform in the entire cardiac cycle. It involves two new quantification parameters k1 and k2 to display the waveform change caused by the superimposition of wave reflection in the systolic reflex period, which is the most significant changes period. In this method, multi parameters were fused by Kalman filter to obtain an optimal estimation, involving the new parameters and other parameters: k0 for the early systolic period, C1 and C2 for diastole period, and K for pulse pressure. RESULTS: Use correlation analysis to verify the effectiveness of new parameters that the coefficient is 0.7 between them and the typical augmentation index (AIx). The quantification results of 462 single-cycle pulse waves have consistent change trends with aging in 25-75 different age groups. For respiration analysis, the correlation coefficients are all greater than 0.6, even achieved 0.8 in six multi-cycle data between Kalman optimal estimation and breath wave. CONCLUSION: This method has quantified the waveform change with physiological status, and these quantification parameters can display the detail of each period. SIGNIFICANCE: It will be used to verify waveform recognition accuracy and has a vast potential to detect diseases.


Asunto(s)
Arterias , Análisis de la Onda del Pulso , Arterias/fisiología , Presión Sanguínea/fisiología , Diástole , Frecuencia Cardíaca , Análisis de la Onda del Pulso/métodos , Sístole
16.
Micromachines (Basel) ; 12(11)2021 Oct 22.
Artículo en Inglés | MEDLINE | ID: mdl-34832708

RESUMEN

This work investigates the transient characteristics of an AlGaN/GaN lateral Schottky barrier diode (SBD) and its recovery process with a dedicated dynamic measurement system. Both static and dynamic characteristics were measured, analyzed with the consideration of acceptor/donor traps in the C-doped buffer and GaN channel, and verified by Silvaco TCAD (technology computer aided design) simulations. The energy band, electric field, and electron concentration were monitored in the transient simulation to study the origin of the current collapse in the SBD. Using the verified model, the impact of carbon doping concentration in the buffer and the thickness of the unintentionally doped (UID) GaN channel in the transient behavior was estimated. Several observations were revealed. Firstly, the traps in the GaN channel and buffer layer have a significant impact on the current collapse of the device. A severe deterioration of current collapse can be observed in the SBDs with increasing density of acceptor-like traps. Secondly, the current collapse increases with the thinner UID GaN channel layer. This well-performed simulation model shows promise to be utilized for the dynamic performance optimization of GaN lateral devices.

17.
Nanomaterials (Basel) ; 11(2)2021 Jan 26.
Artículo en Inglés | MEDLINE | ID: mdl-33530292

RESUMEN

A 16-nm-Lg p-type Gate-all-around (GAA) silicon nanowire (Si NW) metal oxide semiconductor field effect transistor (MOSFET) was fabricated based on the mainstream bulk fin field-effect transistor (FinFET) technology. The temperature dependence of electrical characteristics for normal MOSFET as well as the quantum transport at cryogenic has been investigated systematically. We demonstrate a good gate-control ability and body effect immunity at cryogenic for the GAA Si NW MOSFETs and observe the transport of two-fold degenerate hole sub-bands in the nanowire (110) channel direction sub-band structure experimentally. In addition, the pronounced ballistic transport characteristics were demonstrated in the GAA Si NW MOSFET. Due to the existence of spacers for the typical MOSFET, the quantum interference was also successfully achieved at lower bias.

18.
J Synchrotron Radiat ; 27(Pt 4): 1023-1032, 2020 Jul 01.
Artículo en Inglés | MEDLINE | ID: mdl-33566012

RESUMEN

Comprehensive evaluation of through-silicon via (TSV) reliability often requires deterministic and 3D descriptions of local morphological and statistical features of via formation with the Bosch process. Here, a highly sensitive phase-contrast X-ray microtomography approach is presented based on recorrection of abnormal projections, which provides comprehensive and quantitative characterization of TSV etching performance. The key idea is to replace the abnormal projections at specific angles in principles of linear interpolation of neighboring projections, and to distinguish the interface between silicon and air by using phase-retrieval algorithms. It is demonstrated that such a scheme achieves high accuracy in obtaining the etch profile based on the 3D microstructure of the vias, including diameter, bottom curvature radius, depth and sidewall angle. More importantly, the 3D profile error of the via sidewall and the consistency of parameters among all the vias are achieved and analyzed statistically. The datasets in the results and the 3D microstructure can be applied directly to a reference and model for further finite element analysis. This method is general and has potentially broad applications in 3D integrated circuits.

19.
Opt Express ; 27(16): 22563-22581, 2019 Aug 05.
Artículo en Inglés | MEDLINE | ID: mdl-31510546

RESUMEN

Extreme ultraviolet (EUV) lithography emerges as a promising technique to fabricate next-generation integrated circuits. In order to improve the lithography imaging fidelity, source optimization (SO) technique is widely used to compensate for the imaging distortion. This paper develops an efficient learning-based SO approach for EUV lithography under the compressive sensing (CS) framework. The dimensionality of EUV-SO problem is significantly reduced by sparsely sampling the layout pattern. Then, the EUV-SO is formulated as an l1-norm inverse reconstruction problem based on the sparse prior of source patterns. The cost function is established based on a rigorous imaging model to take into account the characteristic effects in EUV lithography systems. In addition, a learning-based method is proposed to jointly optimize the source dictionary and projection matrix according to the sparsity and incoherence conditions in CS theory. The optimal source dictionary and projection matrix can be learned from a set of training samples collected from typical layout features in advance. Then, the optimized dictionary and projection matrix can be repetitively used in the following SO algorithms. Based on a set of simulations, the proposed SO method is proved to achieve good performance in both imaging fidelity and computational efficiency.

20.
Appl Opt ; 57(27): 7811-7817, 2018 Sep 20.
Artículo en Inglés | MEDLINE | ID: mdl-30462046

RESUMEN

In advanced semiconductor technology nodes, the forbidden pitch effect induced by the destructive interference between neighboring features always leads to poor printing quality. This effect becomes more prominent when the forbidden pitch structure combines with dense pitch structures, which is called the forbidden-dense-alternate (FDA) structure. To overcome its influence on lithographic performance, the design rules can be revised at the cost of design tolerance. Another method is to optimize the source map with the risk of bringing the performance attenuation onto other patterns. This work demonstrates a retargeting method on the weak point in FDA structures. This method can improve the lithographic performance of FDA structures and allow more tolerance to the source mask optimization and design rules. As a result, more process tuning margin can be reserved for other modules, such as optical proximity correction and process integration, in order to improve the yield.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA
...