Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 14 de 14
Filtrar
Más filtros










Base de datos
Asunto principal
Intervalo de año de publicación
1.
ACS Appl Mater Interfaces ; 16(26): 34030-34041, 2024 Jul 03.
Artículo en Inglés | MEDLINE | ID: mdl-38913653

RESUMEN

The removal of toxic heavy metal ions from water resources is crucial for environmental protection and public health. In this study, we address this challenge by developing a surface functionalization technique for the selective adsorption of these contaminants. Our approach involves atomic layer deposition (ALD) followed by vapor-phase silanization of porous substrates. We utilized porous silica gel powder (∼100 µm particles, 89 m2/g surface area, ∼30 nm pores) as an initial substrate. This powder was first coated with ∼0.5 nm ALD Al2O3, followed by vapor-phase grafting of a thiol-functional silane. The modified powder, particularly in acidic conditions (pH = 4), showed high selectivity in adsorbing Cd(II), As(V), Pb(II), Hg(II), and Cu(II) heavy metal ions in mixed ion solutions over common benign ions (e.g., Na, K, Ca, and Mg). Langmuir adsorption isotherms and breakthrough adsorption studies were conducted to assess heavy metal binding affinity and revealed the order of Cd(II) < Pb(II) < Cu(II) < As(V) < Hg(II), with a significantly higher affinity for As(V) and Hg(II) ions. Time-dependent uptake studies demonstrated rapid removal of heavy metal ions from aqueous environments, with Hg(II) exhibiting the fastest adsorption kinetics on thiol-modified surfaces. These findings highlight the potential of ALD and vapor-phase silanization to create effective adsorbents for the targeted removal of hazardous contaminants from water.

2.
J Phys Chem C Nanomater Interfaces ; 128(15): 6346-6356, 2024 Apr 18.
Artículo en Inglés | MEDLINE | ID: mdl-38655058

RESUMEN

New strategies to synthesize nanometer-scale silicon dioxide (SiO2) patterns have drawn much attention in applications such as microelectronic and optoelectronic devices, membranes, and sensors, as we are approaching device dimensions shrinking below 10 nm. In this regard, sequential infiltration synthesis (SIS), a two-step gas-phase molecular assembly process that enables localized inorganic material growth in the targeted reactive domains of polymers, is an attractive process. In this work, we performed in situ Fourier transform infrared spectroscopy (FTIR) measurements during SiO2 SIS to investigate the reaction mechanism of trimethylaluminum (TMA) and tri(tert-pentoxy) silanol (TPS) precursors with polymers having ester functional groups (poly(methyl methacrylate) (PMMA), poly(ethyl methacrylate) (PEMA), polycaprolactone (PCL), and poly(t-butyl methacrylate) (PBMA)), for the purpose of growing patterned nanomaterials. The FTIR results show that for PMMA and PEMA, a lower percentage of functional groups participated in the reactions and formed weak and unstable complexes. In contrast, almost all functional groups in PCL and PBMA participated in the reactions and showed stable and irreversible interactions with TMA. We discovered that the amount of SiO2 formed is not directly correlated with the number of interacting functional groups. These insights into the SiO2 SIS mechanism will enable nanopatterning of SiO2 for low-dimensional applications.

3.
Sci Bull (Beijing) ; 69(2): 218-226, 2024 Jan 30.
Artículo en Inglés | MEDLINE | ID: mdl-38087739

RESUMEN

Atomic layer deposition (ALD) offers unique capabilities to fabricate atomically engineered porous materials with precise pore tuning and multi-functionalization for diverse applications like advanced membrane separations towards sustainable energy-water systems. However, current ALD technique is inhibited on most non-polar polymeric membranes due to lack of accessible nucleation sites. Here, we report a facile method to efficiently promote ALD coating on hydrophobic surface of polymeric membranes via novel protein activation/sensitization. As a proof of concept, TiO2 ALD-coated membranes activated by bovine serum albumin exhibit remarkable superhydrophilicity, ultralow underwater crude oil adhesion, and robust tolerance to rigorous environments including acid, alkali, saline, and ethanol. Most importantly, excellent cyclable crude oil-in-water emulsion separation performance can be achieved. The mechanism for activation/sensitization is rooted in reactivity for a particular set of amino acids. Furthermore, the universality of protein-sensitized ALD is demonstrated using common egg white, promising numerous potential usages in biomedical engineering, environmental remediation, low-carbon manufacturing, catalysis, and beyond.

4.
Nat Commun ; 14(1): 7255, 2023 Nov 09.
Artículo en Inglés | MEDLINE | ID: mdl-37945562

RESUMEN

Ceramic membranes are a promising alternative to polymeric membranes for selective separations, given their ability to operate under harsh chemical conditions. However, current fabrication technologies fail to construct ceramic membranes suitable for selective molecular separations. Herein, we demonstrate a molecular-level design of ceramic thin-film composite membranes with tunable subnanometer pores for precise molecular sieving. Through burning off the distributed carbonaceous species of varied dimensions within hybrid aluminum oxide films, we created membranes with tunable molecular sieving. Specifically, the membranes created with methanol showed exceptional selectivity toward monovalent and divalent salts. We attribute this observed selectivity to the dehydration of the large divalent ions within the subnanometer pores. As a comparison, smaller monovalent ions can rapidly permeate with an intact hydration shell. Lastly, the flux of neutral solutes through each fabricated aluminum oxide membrane was measured for the demonstration of tunable separation capability. Overall, our work provides the scientific basis for the design of ceramic membranes with subnanometer pores for molecular sieving using atomic layer deposition.

5.
Adv Mater ; 35(21): e2300673, 2023 May.
Artículo en Inglés | MEDLINE | ID: mdl-36929566

RESUMEN

Sulfide-based solid-state electrolytes (SSEs) exhibit many tantalizing properties including high ionic conductivity and favorable mechanical properties for next-generation solid-state batteries. Widespread adoption of these materials is hindered by their intrinsic instability under ambient conditions, which makes them difficult to process at scale, and instability at the Li||SSE and cathode||SSE interfaces, which limits cell performance and lifetime. Atomic layer deposition is leveraged to grow thin Al2 O3 coatings on Li6 PS5 Cl powders to address both issues simultaneously. These coatings can be directly grown onto Li6 PS5 Cl particles with negligible chemical modification of the underlying material and enable exposure of powders to pure and H2 O-saturated oxygen environments for ≥4 h with minimal reactivity, compared with significant degradation of the uncoated powder. Pellets fabricated from coated powders exhibit ionic conductivities up to 2× higher than those made from uncoated material, with a simultaneous decrease in electronic conductivity and significant suppression of chemical reactivity at the Li-SSE interface. These benefits result in significantly improved room temperature cycle life at high capacity and current density. It is hypothesized that this enhanced performance derives from improved intergranular properties and improved Li metal adhesion. This work points to a completely new framework for designing active, stable, and scalable materials for next-generation solid-state batteries.

6.
Chem Mater ; 35(3): 927-936, 2023 Feb 14.
Artículo en Inglés | MEDLINE | ID: mdl-36818590

RESUMEN

Two-dimensional (2D) layered materials offer unique properties that make them attractive for continued scaling in electronic and optoelectronic device applications. Successful integration of 2D materials into semiconductor manufacturing requires high-volume and high-precision processes for deposition and etching. Several promising large-scale deposition approaches have been reported for a range of 2D materials, but fewer studies have reported removal processes. Thermal atomic layer etching (ALE) is a scalable processing technique that offers precise control over isotropic material removal. In this work, we report a thermal ALE process for molybdenum disulfide (MoS2). We show that MoF6 can be used as a fluorination source, which, when combined with alternating exposures of H2O, etches both amorphous and crystalline MoS2 films deposited by atomic layer deposition. To characterize the ALE process and understand the etching reaction mechanism, in situ quartz crystal microbalance (QCM), Fourier transform infrared (FTIR), and quadrupole mass spectrometry (QMS) experiments were performed. From temperature-dependent in situ QCM experiments, the mass change per cycle was -5.7 ng/cm2 at 150 °C and reached -270.6 ng/cm2 at 300 °C, nearly 50× greater. The temperature dependence followed Arrhenius behavior with an activation energy of 13 ± 1 kcal/mol. At 200 °C, QCM revealed a mass gain following exposure to MoF6 and a net mass loss after exposure to H2O. FTIR revealed the consumption of Mo-O species and formation of Mo-F and MoF x =O species following exposures of MoF6 and the reverse behavior following H2O exposures. QMS measurements, combined with thermodynamic calculations, supported the removal of Mo and S through the formation of volatile MoF2O2 and H2S byproducts. The proposed etching mechanism involves a two-stage oxidation of Mo through the ALE half-reactions. Etch rates of 0.5 Å/cycle for amorphous films and 0.2 Å/cycle for annealed films were measured by ex situ ellipsometry, X-ray reflectivity, and transmission electron microscopy. Precisely etching amorphous films and subsequently annealing them yielded crystalline, few-layer MoS2 thin films. This thermal MoS2 ALE process provides a new mechanism for fluorination-based ALE and offers a low-temperature approach for integrating amorphous and crystalline 2D MoS2 films into high-volume device manufacturing with tight thermal budgets.

7.
ACS Nano ; 16(11): 18266-18273, 2022 Nov 22.
Artículo en Inglés | MEDLINE | ID: mdl-36318607

RESUMEN

Membranes integrating two-dimensional (2D) materials have emerged as a category with unusual ion transport and potentially useful separation applications in both aqueous and nonaqueous systems. The interlayer galleries in these membranes drive separation and selectivity, with specific transport properties determined by the chemical and structural modifications within the inherently different interlayers. Here we report an approach to tuning interlayer spacing with a single source material─exfoliated and restacked vermiculite with alkanediamine cross-linkers─to both control the gallery height and enhance the membrane stability. The as-prepared cross-linked 2D vermiculite membranes exhibit ion diffusivities tuned by the length of the selected diamine molecule. The 2D nanochannels in these stabilized vermiculite membranes enable a systematic study of confined ionic transport.

8.
ACS Nano ; 16(4): 5384-5392, 2022 Apr 26.
Artículo en Inglés | MEDLINE | ID: mdl-35357130

RESUMEN

In-plane tungsten oxide nanostructures, including hexagonally patterned cylinders and holes in a matrix, were fabricated via sequential infiltration synthesis (SIS) on self-assembled block copolymer templates. Using the tailored morphology and porosity of these model electrodes with in situ grazing incidence small-angle X-ray scattering, the intrinsic structural change of nanoscale active materials during the conversion reaction of WO3 + 6Li ↔ W + 3Li2O was investigated at controlled electrochemical conditions. Reversible electrode volume expansion and contraction was observed during lithiation and delithiation cycles, respectively. The potential where the electrode's thickness expansion started was ∼1.6 V, which is close to the thermodynamically expected one for the conversion reaction of WO3 with lithium (1.65 V). The temporal evolution of the electrode volume at constant electrode potentials revealed high overpotential for bulk lithiation and slow conversion reaction kinetics, despite the tailored porosity of the SIS electrodes. Oxide cylinders showed a smaller overall electrode thickness change, likely due to unconstrained lateral volume change, as compared to a matrix with holes. On the other hand, better connectivity and guided volume change of the latter electrode morphology provided improved cycling stability. In addition, heterogeneity in an electrode, from internal pores and density gradients, was found to aggravate the fragmentation of the electrode during the conversion reaction. Insights into oxide conversion reaction kinetics and the relationship between electrode mesostructure and cycling behavior obtained from this study can help guide the more rational design of conversion electrodes for high-performing batteries.

9.
Langmuir ; 37(39): 11618-11624, 2021 Oct 05.
Artículo en Inglés | MEDLINE | ID: mdl-34554756

RESUMEN

Membranes are among the most promising technologies for energy-efficient and highly selective separations, and the surface-charge property of membranes plays a critical role in their broad applications. Atomic layer deposition (ALD) can deposit materials uniformly and with high precision and controllability on arbitrarily complex and large substrates, which renders it a promising method to tune the electrostatics of water/solid interfaces. However, a systematic study of surface-charge properties of ALD-grown films in aqueous environments is still lacking. In this work, 17 ALD-grown metal-oxide films are synthesized, and a comprehensive study of their water stability, wetting properties, and surface-charge properties is provided. This work represents a resource guide for researchers and ultimately for materials and process engineers, seeking to tailor interfacial charge properties of membranes and other porous water treatment components.

10.
Nanoscale ; 10(7): 3469-3479, 2018 Feb 15.
Artículo en Inglés | MEDLINE | ID: mdl-29404547

RESUMEN

Tungsten oxide (WO3-x) nanostructures with hexagonal in-plane arrangements were fabricated by sequential infiltration synthesis (SIS), using the selective interaction of gas phase precursors with functional groups in one domain of a block copolymer (BCP) self-assembled template. Such structures are highly desirable for various practical applications and as model systems for fundamental studies. The nanostructures were characterized by cross-sectional scanning electron microscopy, grazing-incidence small/wide-angle X-ray scattering (GISAXS/GIWAXS), and X-ray absorption near edge structure (XANES) measurements at each stage during the SIS process and subsequent thermal treatments, to provide a comprehensive picture of their evolution in morphology, crystallography and electronic structure. In particular, we discuss the critical role of SIS Al2O3 seeds toward modifying the chemical affinity and free volume in a polymer for subsequent infiltration of gas phase precursors. The insights into SIS growth obtained from this study are valuable to the design and fabrication of a wide range of targeted nanostructures.

11.
Langmuir ; 33(46): 13214-13223, 2017 11 21.
Artículo en Inglés | MEDLINE | ID: mdl-29039679

RESUMEN

There are broad interests in selective and localized synthesis in nanodomains of self-assembled block copolymers (BCPs) for a variety of applications. Sequential infiltration synthesis (SIS) shows promise to selectively grow a controllable amount of materials in one type of nanodomain of a self-assembled BCP film. However, the effects of nanostructured domains in a BCP film and SIS cycles on the material growth behavior of SIS are rarely studied. In this work, we investigated the growth behavior of TiO2 SIS within self-assembled polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) films and the two corresponding pure homopolymer films (PS and PMMA) by using in situ quartz crystal microbalance (QCM). According to the experimental results, reactant purge steps are essential to enable a high selectivity of SIS in PMMA nanodomains in the BCP films by eliminating the undesired homogeneous reactions. The continuous PS nanodomain acts as the main channel in transporting reactants to PMMA nanodomains in the self-assembled PS-b-PMMA BCP films. The segregated nanoscale PMMA nanodomains in the BCP films show dramatically different TiCl4 diffusion/reaction behavior than a continuous PMMA film. The mass gain per SIS cycle within PMMA nanodomains decreases quickly with increasing cycle number. After 7 TiO2 SIS cycles, TiO2 SIS can only take place at the interface between PS and PMMA nanodomains in the BCP film. The TiO2 SIS process can uniformly modify PMMA nanodomains throughout a self-assembled PS-b-PMMA film up to the diffusion depth owing to the unique nanostructure-enabled diffusion. SIS cycle number and chemistry of a BCP will strongly affect the material growth behavior of a SIS chemistry on the BCP film and, therefore, the final morphology of the resulting nanomaterial. Detailed studies are warranted for a SIS process on a self-assembled BCP film of different chemistry.

12.
ACS Omega ; 2(7): 3724-3729, 2017 Jul 31.
Artículo en Inglés | MEDLINE | ID: mdl-31457686

RESUMEN

Atomic layer deposition (ALD) of the well-known Al2O3 on a LiCoO2 system is compared with that of a newly developed AlW x F y material. ALD coatings (∼1 nm thick) of both materials are shown to be effective in improving cycle life through mitigation of surface-induced capacity losses. However, the behaviors of Al2O3 and AlW x F y are shown to be significantly different when coated directly on cathode particles versus deposition on a composite electrode composed of active materials, carbons, and binders. Electrochemical impedance spectroscopy, galvanostatic intermittent titration techniques, and four-point measurements suggest that electron transport is more limited in LiCoO2 particles coated with Al2O3 compared with that in particles coated with AlW x F y . The results show that proper design/choice of coating materials (e.g., AlW x F y ) can improve capacity retention without sacrificing electron transport and suggest new avenues for engineering electrode-electrolyte interfaces to enable high-voltage operation of lithium-ion batteries.

13.
J Synchrotron Radiat ; 22(5): 1202-6, 2015 Sep.
Artículo en Inglés | MEDLINE | ID: mdl-26289271

RESUMEN

X-ray detectors that combine two-dimensional spatial resolution with a high time resolution are needed in numerous applications of synchrotron radiation. Most detectors with this combination of capabilities are based on semiconductor technology and are therefore limited in size. Furthermore, the time resolution is often realised through rapid time-gating of the acquisition, followed by a slower readout. Here, a detector technology is realised based on relatively inexpensive microchannel plates that uses GHz waveform sampling for a millimeter-scale spatial resolution and better than 100 ps time resolution. The technology is capable of continuous streaming of time- and location-tagged events at rates greater than 10(7) events per cm(2). Time-gating can be used for improved dynamic range.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA