Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 22
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Nanomaterials (Basel) ; 13(8)2023 Apr 20.
Artigo em Inglês | MEDLINE | ID: mdl-37111004

RESUMO

UV-induced photoluminescence of organosilica films with ethylene and benzene bridging groups in their matrix and terminal methyl groups on the pore wall surface was studied to reveal optically active defects and understand their origin and nature. The careful selection of the film's precursors and conditions of deposition and curing and analysis of chemical and structural properties led to the conclusion that luminescence sources are not associated with the presence of oxygen-deficient centers, as in the case of pure SiO2. It is shown that the sources of luminescence are the carbon-containing components that are part of the low-k-matrix, as well as the carbon residues formed upon removal of the template and UV-induced destruction of organosilica samples. A good correlation between the energy of the photoluminescence peaks and the chemical composition is observed. This correlation is confirmed by the results obtained by the Density Functional theory. The photoluminescence intensity increases with porosity and internal surface area. The spectra become more complicated after annealing at 400 °C, although Fourier transform infrared spectroscopy does not show these changes. The appearance of additional bands is associated with the compaction of the low-k matrix and the segregation of template residues on the surface of the pore wall.

2.
Nanomaterials (Basel) ; 12(9)2022 May 09.
Artigo em Inglês | MEDLINE | ID: mdl-35564309

RESUMO

We applied time-domain Brillouin scattering (TDBS) for the characterization of porogen-based organosilicate glass (OGS) films deposited by spin-on-glass technology and cured under different conditions. Although the chemical composition and porosity measured by Fourier-transform infrared (FTIR) spectroscopy and ellipsometric porosimetry (EP) did not show significant differences between the films, remarkable differences between them were revealed by the temporal evolution of the Brillouin frequency (BF) shift of the probe light in the TDBS. The observed modification of the BF was a signature of the light-induced modification of the films in the process of the TDBS experiments. It correlated to the different amount of carbon residue in the samples, the use of ultraviolet (UV) femtosecond probe laser pulses in our optical setup, and their intensity. In fact, probe radiation with an optical wavelength of 356 nm appeared to be effective in removing carbon residue through single-photon absorption processes, while its two-photon absorption might have led to the breaking of Si-CH3 bonds in the OSG matrix. The quantum chemical calculations confirmed the latter possibility. This discovery demonstrates the possibility of local modifications of OSG films with a nanometric resolution via nonlinear optical processes, which could be important, among other applications, for the creation of active surface sites in the area-selective deposition of atomic layers.

3.
Materials (Basel) ; 14(8)2021 Apr 09.
Artigo em Inglês | MEDLINE | ID: mdl-33918926

RESUMO

Organosilicate glass (OSG)-based porous low dielectric constant (low-k) films with different molar ratios of 1,3,5-tris(triethoxysilyl)benzene to 1,3-bis(triethoxysilyl)benzene bridging organic groups (1:3 and 1:7) were spin-on deposited, followed by a soft bake in air and N2 at 150 °C and hard bake in air and N2 at 400 °C. Non-ionic template (Brij®30) concentrations were varied from 0 to 41 wt% to control the porosity of the films. The chemical composition of the matrix of the films was evaluated and discussed with the shrinkage of the film during the curing, refractive indices, mechanical properties, k-values, porosity and pore structure. The chemical composition of the film cured in both air and N2-containing ambient were evaluated and compared. The benzene bridging groups containing films change their porosity (0 to 43%) but keep the pore size constant and equal to 0.81 nm when porosity is lower than 30%. The k-value decreases with increasing porosity, as expected. The films containing benzene bridge have higher a Young's modulus than plasma-enhanced chemical vapor deposition (PECVD) methyl-terminated low-k films with the same porosity and show good hydrophobic properties after a hard bake and close to the values reported for 1,4-benzene-bridged films. The fabricated films show good stability after a long time of storage. However, the improvement of mechanical properties was lower than the values predicted by the published literature data. It was concluded that the concentration of 1,3,5-benzene bridges was below the stiffness threshold required for significant improvement of the mechanical properties. The films show UV-induced luminescence with a photon energy of 3.6 to 4.3 eV. The luminescence is related to the presence of oxygen-deficient-type defects or their combination with organic residues. The most intensive luminescence is observed in as-deposited and soft bake samples, then the intensity is reduced after a hard bake. It is assumed that the oxygen-deficient centers form because of the presence of Si-OC2H5 groups in the films and the concentration of these centers reduces when all these groups completely transformed into siloxane (Si-O-Si).

4.
Data Brief ; 35: 106895, 2021 Apr.
Artigo em Inglês | MEDLINE | ID: mdl-33718549

RESUMO

A dataset in this report is regarding an article, "A detailed ellipsometric porosimetry and positron annihilation spectroscopy study of porous organosilicate glass films with various ratios of methyl terminal and ethylene bridging groups" [1]. The data of porous organosilicate glass (OSG) low-k films was obtained by Fourier-Transform Infrared spectroscopy (FTIR), Ellipsometric Porosimetry (EP), Photoluminescence (PL) Spectroscopy. The data shows that the mechanical properties of OSG low-k films are principally controlled by introducing both terminal methyl and bridging organic groups, and porosity with proper pore size. The dataset presented here gives additional details regarding properties of carbon bridged OSGs presented in the paper [1]. Also, the data may give the impact of both terminal methyl and bridging ethylene groups on as deposited and thermally cured OSG films. Particularly, we added some details about FTIR, EP (especially related to calculation of the internal surface area) and UV induced luminescence. The data allow to test experimental and theoretical investigations of OSG low-k materials that might use in microelectronic fabrication industry and also might be used to extend beyond the analysis reported in the accompanying manuscript, and may aid for other applications of OSG materials.

5.
Materials (Basel) ; 13(20)2020 Oct 10.
Artigo em Inglês | MEDLINE | ID: mdl-33050395

RESUMO

Organosilicate glass-based porous low dielectic constant films with different ratios of terminal methyl to bridging organic (methylene, ethylene and 1,4-phenylene) groups are spin-on deposited by using a mixture of alkylenesiloxane with organic bridges and methyltrimethoxysilane, followed by soft baking at 120-200 °C and curing at 430 °C. The films' porosity was controlled by using sacrificial template Brij® L4. Changes of the films' refractive indices, mechanical properties, k-values, porosity and pore structure versus chemical composition of the film's matrix are evaluated and compared with methyl-terminated low-k materials. The chemical resistance of the films to annealing in oxygen-containing atmosphere is evaluated by using density functional theory (DFT). It is found that the introduction of bridging groups changes their porosity and pore structure, increases Young's modulus, but the improvement of mechanical properties happens simultaneously with the increase in the refractive index and k-value. The 1,4-phenylene bridging groups have the strongest impact on the films' properties. Mechanisms of oxidative degradation of carbon bridges are studied and it is shown that 1,4-phenylene-bridged films have the highest stability. Methylene- and ethylene-bridged films are less stable but methylene-bridged films show slightly higher stability than ethylene-bridged films.

6.
Sci Rep ; 7(1): 1718, 2017 05 11.
Artigo em Inglês | MEDLINE | ID: mdl-28496099

RESUMO

A reentrant temperature dependence of the normal state resistance often referred to as the N-shaped temperature dependence, is omnipresent in disordered superconductors - ranging from high-temperature cuprates to ultrathin superconducting films - that experience superconductor-to-insulator transition. Yet, despite the ubiquity of this phenomenon its origin still remains a subject of debate. Here we investigate strongly disordered superconducting TiN films and demonstrate universality of the reentrant behavior. We offer a quantitative description of the N-shaped resistance curve. We show that upon cooling down the resistance first decreases linearly with temperature and then passes through the minimum that marks the 3D-2D crossover in the system. In the 2D temperature range the resistance first grows with decreasing temperature due to quantum contributions and eventually drops to zero as the system falls into a superconducting state. Our findings demonstrate the prime importance of disorder in dimensional crossover effects.

7.
Langmuir ; 30(13): 3832-44, 2014 Apr 08.
Artigo em Inglês | MEDLINE | ID: mdl-24621316

RESUMO

Self-assembled monolayers (SAMs) from an 11-cyanoundecyltrichlorosilane (CN-SAM) precursor were deposited on porous SiCOH low-k dielectrics with three different pore radii, namely, 1.7, 0.7, and lower than 0.5 nm. The low-k dielectrics were first pretreated with either O2 or He/H2 plasma in order to generate silanol groups on the hydrophobic pristine surface. Subsequently, the SAMs were chemically grafted to the silanol groups on the low-k surface. The SAMs distribution in the low-k films depends on the pore diameter: if the pore diameter is smaller than the size of the SAMs precursors, the SAM molecules are confined to the surface, while if the pore diameter exceeds the van der Waals radius of the SAMs precursor, the SAMs molecules reach deeper in the dielectric. In the latter case, when the pore sidewalls are made hydrophilic by the plasma treatment, the chemical grafting of the SAM precursors follows the profile of the generated silanol groups. The modification depth induced by the O2 plasma is governed by the diffusion of the oxygen radicals into the pores, which makes it the preferred choice for microporous materials. On the other hand, the vacuum ultraviolet (VUV) light plays a critical role, which makes it more suitable for hydrolyzing mesoporous materials. In addition to the density of the surface -OH groups, the nanoscale concave curvature associated with the pores also affects the molecular packing density and ordering with respect to the self-assembly behavior on flat surfaces. A simple model which correlates the low-k pore structure with the plasma hydrophilization mechanism and the SAMs distribution in the pores is presented.

8.
Langmuir ; 29(38): 12025-35, 2013 Sep 24.
Artigo em Inglês | MEDLINE | ID: mdl-24032751

RESUMO

The impact of pore structure of nanoporous films on the measured elastic modulus is demonstrated for silica-based nanoporous low-k films that are fabricated using an alternative manufacturing sequence which allows a separate control of porosity and matrix properties. For this purpose, different experimental techniques for measuring the elastic properties were compared, including nanoindentation, laser-induced surface acoustic wave spectroscopy (LAwave), and ellipsometric porosimetry (EP). The link between the elastic response of these nanoporous materials and their internal pore structure was investigated using positronium annihilation lifetime spectroscopy (PALS), EP, and diffusion experiments. It is shown that the absolute value of the Berkovich indentation modulus is very sensitive to the local pore structure and stiffness of the substrate and can be influenced by densification and/or anisotropic elasticity upon indentation, while on the other hand spherical indentation results are less sensitive to the local pore structure. The comparison of Berkovich and spherical indentation results combined with finite element simulations can potentially reveal changes in the internal structure of the film. For nanoporous films with porosity above the percolation threshold, the elastic modulus results obtained with LAwave and EP agree very well with spherical indentation results. On the other hand, below the percolation threshold, the elastic modulus values determined by these techniques deviate from the spherical indentation results. This was explained in terms of specific technique related effects that appear to be sensitive to the specific arrangement and morphology of the pores.


Assuntos
Membranas Artificiais , Módulo de Elasticidade , Porosidade
9.
Langmuir ; 29(39): 12284-9, 2013 Oct 01.
Artigo em Inglês | MEDLINE | ID: mdl-24000800

RESUMO

This paper explores the effects of different plasma treatments on low dielectric constant (low-k) materials and the consequences for the growth behavior of atomic layer deposition (ALD) on these modified substrates. An O2 and a He/H2 plasma treatment were performed on SiCOH low-k films to modify their chemical surface groups. Transmission FTIR and water contact angle (WCA) analysis showed that the O2 plasma changed the hydrophobic surface completely into a hydrophilic surface, while the He/H2 plasma changed it only partially. In a next step, in situ X-ray fluorescence (XRF), ellipsometric porosimetry (EP), and Rutherford backscattering spectroscopy (RBS) were used to characterize ALD growth of TiO2 on these substrates. The initial growth of TiO2 was found to be inhibited in the original low-k film containing only Si-CH3 surface groups, while immediate growth was observed in the hydrophilic O2 plasma treated film. The latter film was uniformly filled with TiO2 after 8 ALD cycles, while pore filling was delayed to 17 ALD cycles in the hydrophobic film. For the He/H2 plasma treated film, containing both Si-OH and Si-CH3 groups, the in situ XRF data showed that TiO2 could no longer be deposited in the He/H2 plasma treated film after 8 ALD cycles, while EP measurements revealed a remaining porosity. This can be explained by the faster deposition of TiO2 in the hydrophilic top part of the film than in the hydrophobic bulk which leaves the bulk porous, as confirmed by RBS depth profiling. The outcome of this research is not only of interest for the development of advanced interconnects in ULSI technology, but also demonstrates that ALD combined with RBS analysis is a handy approach to analyze the modifications induced by a plasma treatment on a nanoporous thin film.

10.
Materials (Basel) ; 6(2): 570-579, 2013 Feb 20.
Artigo em Inglês | MEDLINE | ID: mdl-28809327

RESUMO

To use mesoporous silicas as low-k materials, the pore entrances must be really small to avoid diffusion of metals that can increase the dielectric constant of the low-k dielectric. In this paper we present a new method to narrow the pores of mesoporous materials through grafting of a cyclic-bridged organosilane precursor. As mesoporous material, the well-studied MCM-41 powder was selected to allow an easy characterization of the grafting reactions. Firstly, the successful grafting of the cyclic-bridged organosilane precursor on MCM-41 is presented. Secondly, it is demonstrated that pore narrowing can be obtained without losing porosity by removing the porogen template after grafting. The remaining silanols in the pores can then be end-capped with hexamethyl disilazane (HMDS) to make the material completely hydrophobic. Finally, we applied the pore narrowing method on organosilica films to prove that this method is also successful on existing low-k materials.

11.
Langmuir ; 28(8): 3852-9, 2012 Feb 28.
Artigo em Inglês | MEDLINE | ID: mdl-22304361

RESUMO

Ellipsometric porosimetry (EP) is a handy technique to characterize the porosity and pore size distribution of porous thin films with pore diameters in the range from below 1 nm up to 50 nm and for the characterization of porous low-k films especially. Atomic layer deposition (ALD) can be used to functionalize porous films and membranes, e.g., for the development of filtration and sensor devices and catalytic surfaces. In this work we report on the implementation of the EP technique onto an ALD reactor. This combination allowed us to employ EP for monitoring the modification of a porous thin film through ALD without removing the sample from the deposition setup. The potential of in situ EP for providing information about the effect of ALD coating on the accessible porosity, the pore radius distribution, the thickness, and mechanical properties of a porous film is demonstrated in the ALD of TiO(2) in a mesoporous silica film.

12.
ACS Nano ; 6(2): 1410-5, 2012 Feb 28.
Artigo em Inglês | MEDLINE | ID: mdl-22211667

RESUMO

Revealing defects and inhomogeneities of physical and chemical properties beneath a surface or an interface with in-depth nanometric resolution plays a pivotal role for a high degree of reliability in nanomanufacturing processes and in materials science more generally. (1, 2) Nanoscale noncontact depth profiling of mechanical and optical properties of transparent sub-micrometric low-k material film exhibiting inhomogeneities is here achieved by picosecond acoustics interferometry. On the basis of the optical detection through the time-resolved Brillouin scattering of the propagation of a picosecond acoustic pulse, depth profiles of acoustical velocity and optical refractive index are measured simultaneously with spatial resolution of tens of nanometers. Furthermore, measuring the magnitude of this Brillouin signal provides an original method for depth profiling of photoelastic moduli. This development of a new opto-acoustical nanometrology paves the way for in-depth inspection and for subsurface nanoscale imaging of inorganic- and organic-based materials.

13.
Chem Commun (Camb) ; 48(22): 2797-9, 2012 Mar 14.
Artigo em Inglês | MEDLINE | ID: mdl-22286331

RESUMO

A new strategy to seal mesoporous low-k thin films with a pore size of 3 nm has been developed. This is achieved by spin-coating of a self-assembled carbon-bridged organosilica layer followed by a grafting with hexamethyl disilazane.

14.
J Nanosci Nanotechnol ; 11(9): 8363-7, 2011 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-22097585

RESUMO

Nanoporous low-kappa films were manufactured by using a 3-step process: co-deposition of a skeleton and porogens by PECVD, porogen removal by remote plasma and UV cure. In this study, the influence of both the variation of the porogen load and the different types of UV-cures on several film characteristics were investigated. Improved kappa-values were observed for increased porogen to skeleton ratios and a broad band cure, where the wavelength of the photons is always higher than 200 nm. However the Young's modulus and hardness decreased correspondingly. These variations can be attributed to the changing density and chemical composition of the different films. A wide range of low-kappa films was obtained by tuning the porogen load and applying different types of UV cures.

15.
Langmuir ; 26(3): 2014-20, 2010 Feb 02.
Artigo em Inglês | MEDLINE | ID: mdl-19795849

RESUMO

In this paper, we present a method for growing copper-based nanowires. The method is based on the unusual modification of a halogenated copper surface by exposure to a helium plasma. The nanowires have diameters ranging between 50 and 150 nm and lengths up to 50 microm. They are polycrystalline, and large parts of the wires have a pronounced core-shell structure with a dense shell and less material inside. The wires are grown in a plasma environment at room temperature, and large amounts can be grown in a matter of minutes. The critical process parameters for the growth process are the gas flow and pressure settings, and the impact thereof will be discussed in detail. In order to gain insight in a possible growth mechanism, our observations are compared with literature on the growth of silver whiskers from halogenated silver crystallites. Finally, photoluminescence spectra of the wires are discussed in view of the analytical data about the stoichiometry and structure of the nanowires.

16.
Nat Commun ; 1: 140, 2010.
Artigo em Inglês | MEDLINE | ID: mdl-21266990

RESUMO

A superconducting state is characterized by the gap in the electronic density of states, which vanishes at the superconducting transition temperature T(c). It was discovered that in high-temperature superconductors, a noticeable depression in the density of states, the pseudogap, still remains even at temperatures above T(c). Here, we show that a pseudogap exists in a conventional superconductor, ultrathin titanium nitride films, over a wide range of temperatures above T(c). Our study reveals that this pseudogap state is induced by superconducting fluctuations and favoured by two-dimensionality and by the proximity to the transition to the insulating state. A general character of the observed phenomenon provides a powerful tool to discriminate between fluctuations as the origin of the pseudogap state and other contributions in the layered high-temperature superconductor compounds.

17.
J Am Chem Soc ; 130(51): 17528-36, 2008 Dec 24.
Artigo em Inglês | MEDLINE | ID: mdl-19053487

RESUMO

Spin-on zeolite films deposited from Silicalite-1 nanocrystal suspensions prepared by hydrothermal treatment of clear solutions have the required properties for insulating media in microelectronics. However, on the scale of the feature sizes in on-chip interconnects of a few tens of nanometers, their homogeneity is still insufficient. We discovered a way to overcome this problem by combining the advantages of the clear solution approach of Silicalite-1 synthesis with a sol-gel approach. A combination of tetraethyl orthosilicate and methyltrimethoxysilane silica sources was hydrolyzed and cocondensed in the presence of an aqueous tetraalkylammonium hydroxide template. The resulting suspension of nanoparticles of a few nanometers in size together with residual oligomeric silica species were spun onto support. The final zeolite-inspired low-k films (ZLK) with respect to pore size and homogeneity satisfied all requirements and presented excellent hydrophobicity, stiffness, and dielectric constant. The size and content of initially formed nanoparticles and the spatial hindrance promoted by occluded tetraalkylammonium molecules were found to be crucial elements in the definition of the final pore network.

18.
Nature ; 452(7187): 613-5, 2008 Apr 03.
Artigo em Inglês | MEDLINE | ID: mdl-18385735

RESUMO

Synchronized oscillators are ubiquitous in nature, and synchronization plays a key part in various classical and quantum phenomena. Several experiments have shown that in thin superconducting films, disorder enforces the droplet-like electronic texture--superconducting islands immersed into a normal matrix--and that tuning disorder drives the system from superconducting to insulating behaviour. In the vicinity of the transition, a distinct state forms: a Cooper-pair insulator, with thermally activated conductivity. It results from synchronization of the phase of the superconducting order parameter at the islands across the whole system. Here we show that at a certain finite temperature, a Cooper--air insulator undergoes a transition to a superinsulating state with infinite resistance. We present experimental evidence of this transition in titanium nitride films and show that the superinsulating state is dual to the superconducting state: it is destroyed by a sufficiently strong critical magnetic field, and breaks down at some critical voltage that is analogous to the critical current in superconductors.

19.
Langmuir ; 24(9): 4894-900, 2008 May 06.
Artigo em Inglês | MEDLINE | ID: mdl-18393487

RESUMO

We present a study on the hydrophobization of spin-on Silicalite-1 zeolite films through silylation with trimethylchlorosilane. Microporous and micro-mesoporous Silicalite-1 films were synthesized by spin coating of suspensions of Silicalite-1 nanozeolite crystallized for different times. Ellipsometric porosimetry with toluene and water adsorbates reveals that silylation decreases the porosity and makes the films hydrophobic. The decrease in porosity depends on the exposed surface area in the pores. Water contact angle measurements confirm the hydrophobicity. Fourier transform infrared spectroscopy reveals that the trimethylsilyl groups are chemisorbed selectively on isolated silanols and less on geminal and vicinal silanols due to steric limitations. Time-of-flight secondary-ion mass spectroscopy and in situ ellipsometry analysis of the reaction kinetics show that the silylation is a bulk process occurring in the absence of diffusion limitation. Electrical current leakage on films decreases upon silylation. Silylation with trimethylchlorosilane is shown to be an effective hydrophobization method for spin-on Silicalite-1 zeolite films.

20.
Langmuir ; 23(26): 12811-6, 2007 Dec 18.
Artigo em Inglês | MEDLINE | ID: mdl-18031072

RESUMO

Ellipsometric porosimetry was used to determine the adsorption isotherms of toluene, methanol, and water on b-oriented Silicalite-1 coatings with a thickness of less than ca. 250 nm and to obtain adsorption kinetics. The adsorption isotherms are of sufficient quality to reveal several aspects of the pore structure such as the adsorbate capacity and the adsorbate/framework affinity. The use of a combination of different molecular probes in ellipsometric porosimetry to elucidate the molecular accessibility of Silicalite-1 pores is demonstrated. It is shown that ellipsometric porosimetry is an appropriate technique for probing the influence of aging of the Silicalite-1 coating and of planarization polishing on the porosity, pore accessibility, and adsorbate/framework affinity.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...