Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 9 de 9
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Opt Quantum ; 2(2): 72-84, 2024 Apr 25.
Artigo em Inglês | MEDLINE | ID: mdl-38741706

RESUMO

In a popular integration process for quantum information technologies, localization microscopy of quantum emitters guides lithographic placement of photonic structures. However, a complex coupling of microscopy and lithography errors degrades registration accuracy, severely limiting device performance and process yield. We introduce a methodology to solve this widespread but poorly understood problem. A new foundation of traceable localization enables rapid characterization of lithographic standards and comprehensive calibration of cryogenic microscopes, revealing and correcting latent systematic effects. Of particular concern, we discover that scale factor deviation and complex optical distortion couple to dominate registration errors. These novel results parameterize a process model for integrating quantum dots and bullseye resonators, predicting higher yield by orders of magnitude, depending on the Purcell factor threshold as a quantum performance metric. Our foundational methodology is a key enabler of the lab-to-fab transition of quantum information technologies and has broader implications to cryogenic and correlative microscopy.

2.
Anal Chem ; 94(2): 678-686, 2022 01 18.
Artigo em Inglês | MEDLINE | ID: mdl-34927410

RESUMO

Gravimetry typically lacks the resolution to measure single microdroplets, whereas microscopy is often inaccurate beyond the resolution limit. To address these issues, we advance and integrate these complementary methods, introducing simultaneous measurements of the same microdroplets, comprehensive calibrations that are independently traceable to the International System of Units (SI), and Monte-Carlo evaluations of volumetric uncertainty. We achieve sub-picoliter agreement of measurements of microdroplets in flight with volumes of approximately 70 pL, with ensemble gravimetry and optical microscopy both yielding 95% coverage intervals of ±0.6 pL, or relative uncertainties of ±0.9%, and root-mean-square deviations of mean values between the two methods of 0.2 pL or 0.3%. These uncertainties match previous gravimetry results and improve upon previous microscopy results by an order of magnitude. Gravimetry precision depends on the continuity of droplet formation, whereas microscopy accuracy requires that optical diffraction from an edge reference matches that from a microdroplet. Applying our microscopy method, we jet and image water microdroplets suspending fluorescent nanoplastics, count nanoplastic particles after deposition and evaporation, and transfer volumetric traceability to the number concentrations of single microdroplets. We expect that our methods will impact diverse fields involving dimensional metrology and volumetric analysis of microdroplets, including inkjet microfabrication, disease transmission, and industrial sprays.


Assuntos
Microscopia , Água
3.
Artigo em Inglês | MEDLINE | ID: mdl-33304445

RESUMO

Nanoscale wear affects the performance of atomic force microscopy (AFM)-based measurements for all applications including process control measurements and nanoelectronics characterization. As such, methods to prevent or reduce AFM tip wear is an area of active research. However, most prior work has been on conventional AFMs rather than critical dimension AFM (CD-AFM). Hence, less is known about CD-AFM tip-wear. Given that tip-wear directly affects the accuracy of dimensional measurements, a basic understanding of CD-AFM tip wear is needed. Toward this goal, we evaluated the wear performance of electron beam deposited CD-AFM tips. Using a continuous scanning strategy, we evaluated the overall wear rate and tip lifetime and compared these with those of silicon-based CD-AFM tips. Our data show improved tip lifetime of as much as a factor of five and reduced wear rates of more than 17 times. Such improvements in wear rate means less measurement variability and lower cost.

4.
J Micro Nanolithogr MEMS MOEMS ; 15(3): 034005, 2016 Jul.
Artigo em Inglês | MEDLINE | ID: mdl-27840664

RESUMO

The decreasing size of semiconductor features and the increasing structural complexity of advanced devices have placed continuously greater demands on manufacturing metrology, arising both from the measurement challenges of smaller feature sizes and the growing requirement to characterize structures in more than just a single critical dimension. For scanning electron microscopy, this has resulted in increasing sophistication of imaging models. For critical dimension atomic force microscopes (CD-AFMs), this has resulted in the need for smaller and more complex tips. Carbon nanotube (CNT) tips have thus been the focus of much interest and effort by a number of researchers. However, there have been significant issues surrounding both the manufacture and use of CNT tips. Specifically, the growth or attachment of CNTs to AFM cantilevers has been a challenge to the fabrication of CNT tips, and the flexibility and resultant bending artifacts have presented challenges to using CNT tips. The Korea Research Institute for Standards and Science (KRISS) has invested considerable effort in the controlled fabrication of CNT tips and is collaborating with the National Institute of Standards and Technology on the application of CNT tips for CD-AFM. Progress by KRISS on the precise control of CNT orientation, length, and end modification, using manipulation and focused ion beam processes, has allowed us to implement ball-capped CNT tips and bent CNT tips for CD-AFM. Using two different generations of CD-AFM instruments, we have evaluated these tip types by imaging a line/space grating and a programmed line edge roughness specimen. We concluded that these CNTs are capable of scanning the profiles of these structures, including re-entrant sidewalls, but there remain important challenges to address. These challenges include tighter control of tip geometry and careful optimization of scan parameters and algorithms for using CNT tips.

5.
Artigo em Inglês | MEDLINE | ID: mdl-27087883

RESUMO

Sidewall sensing in critical dimension atomic force microscopes (CD-AFMs) usually involves continuous lateral dithering of the tip or the use of a control algorithm and fast response piezo actuator to position the tip in a manner that resembles touch-triggering of coordinate measuring machine (CMM) probes. All methods of tip position control, however, induce an effective tip width that may deviate from the actual geometrical tip width. Understanding the influence and dependence of the effective tip width on the dither settings and lateral stiffness of the tip can improve the measurement accuracy and uncertainty estimation for CD-AFM measurements. Since CD-AFM typically uses tips that range from 15 nm to 850 nm in geometrical width, the behavior of effective tip width throughout this range should be understood. The National Institute of Standards and Technology (NIST) has been investigating the dependence of effective tip width on the dither settings and lateral stiffness of the tip, as well as the possibility of material effects due to sample composition. For tip widths of 130 nm and lower, which also have lower lateral stiffness, the response of the effective tip width to lateral dither is greater than for larger tips. However, we have concluded that these effects will not generally result in a residual bias, provided that the tip calibration and sample measurement are performed under the same conditions. To validate that our prior conclusions about the dependence of effective tip width on lateral stiffness are valid for large CD-tips, we recently performed experiments using a very large non-CD tip with an etched plateau of approximately 2 µm width. The effective lateral stiffness of these tips is at least 20 times greater than typical CD-AFM tips, and these results supported our prior conclusions about the expected behavior for larger tips. The bottom-line importance of these latest observations is that we can now reasonably conclude that a dither slope of 3 nm/V is the baseline response due to the induced motion of the cantilever base.

6.
Artigo em Inglês | MEDLINE | ID: mdl-26925297

RESUMO

Quantitative optical measurements of deep sub-wavelength, three-dimensional, nanometric structures with sensitivity to sub-nanometer details address an ubiquitous measurement challenge. A Fourier domain normalization approach is used in the Fourier optical imaging code to simulate the full three-dimensional scattered light field of nominally 15 nm sized structures, accurately replicating the light field as a function of the focus position. Using the full three-dimensional light field, nanometer scale details such as a 2 nm thin conformal oxide and nanometer topography are rigorously fitted for features less than 1/30th of the wavelength in size. The densely packed structures are positioned nearly an order of magnitude closer than the conventional Rayleigh resolution limit and can be measured with sub-nanometer parametric uncertainties. This approach enables a practical measurement sensitivity to size variations of only a few atoms in size using a high throughput optical configuration with broad application in measuring nanometric structures and nanoelectronic devices.

7.
Ultramicroscopy ; 162: 25-34, 2016 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-26720439

RESUMO

In atomic force microscopy (AFM) metrology, the tip is a key source of uncertainty. Images taken with an AFM show a change in feature width and shape that depends on tip geometry. This geometric dilation is more pronounced when measuring features with high aspect ratios, and makes it difficult to obtain absolute dimensions. In order to accurately measure nanoscale features using an AFM, the tip dimensions should be known with a high degree of precision. We evaluate a new AFM tip characterizer, and apply it to critical dimension AFM (CD-AFM) tips used for high aspect ratio features. The characterizer is made up of comb-shaped lines and spaces, and includes a series of gratings that could be used as an integrated nanoscale length reference. We also demonstrate a simulation method that could be used to specify what range of tip sizes and shapes the characterizer can measure. Our experiments show that for non re-entrant features, the results obtained with this characterizer are consistent to 1nm with the results obtained by using widely accepted but slower methods that are common practice in CD-AFM metrology. A validation of the integrated length standard using displacement interferometry indicates a uniformity of better than 0.75%, suggesting that the sample could be used as highly accurate and SI traceable lateral scale for the whole evaluation process.

8.
Scanning ; 30(1): 47-55, 2008.
Artigo em Inglês | MEDLINE | ID: mdl-18200506

RESUMO

It is difficult to predict the measurement bias arising from the compliance of the atomic force microscope (AFM) probe. The issue becomes particularly important in this situation where nanometer uncertainties are sought for measurements with dimensional probes composed of flexible carbon nanotubes mounted on AFM cantilevers. We have developed a finite element model for simulating the mechanical behavior of AFM cantilevers with carbon nanotubes attached. Spring constants of both the nanotube and cantilever in two directions are calculated using the finite element method with known Young's moduli of both silicon and multiwall nanotube as input data. Compliance of the nanotube-attached AFM probe tip may be calculated from the set of spring constants. This paper presents static models that together provide a basis to estimate uncertainties in linewidth measurement using nanotubes. In particular, the interaction between a multiwall nanotube tip and a silicon sample is modeled using the Lennard-Jones theory. Snap-in and snap-out of the probe tip in a scanning mode are calculated by integrating the compliance of the probe and the sample-tip interacting force model. Cantilever and probe tip deflections and points of contact are derived for both horizontal scanning of a plateau and vertically scanning of a wall. The finite element method and the Lennard-Jones model provide a means to analyze the interaction of the probe and sample and measurement uncertainty, including actual deflection and the gap between the probe tip and the measured sample surface.

9.
J Res Natl Inst Stand Technol ; 111(3): 187-203, 2006.
Artigo em Inglês | MEDLINE | ID: mdl-27274928

RESUMO

Staffs of the Semiconductor Electronics Division, the Information Technology Laboratory, and the Precision Engineering Laboratory at NIST, have developed a new generation of prototype Single-Crystal CD (Critical Dimension) Reference (SCCDRM) Materials with the designation RM 8111. Their intended use is calibrating metrology instruments that are used in semiconductor manufacturing. Each reference material is configured as a 10 mm × 11 mm silicon test-structure chip that is mounted in a 200 mm silicon carrier wafer. The fabrication of both the chip and the carrier wafer uses the type of lattice-plane-selective etching that is commonly employed in the fabrication of micro electro-mechanical systems devices. The certified CDs of the reference features are determined from Atomic Force Microscope (AFM) measurements that are referenced to high-resolution transmission-electron microscopy images that reveal the cross-section counts of lattice planes having a pitch whose value is traceable to the SI meter.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...