Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 7 de 7
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Opt Express ; 32(4): 5323-5338, 2024 Feb 12.
Artigo em Inglês | MEDLINE | ID: mdl-38439262

RESUMO

Lithography is one of the most critical processes in the manufacturing of micro- and nano-devices. As device critical dimensions continue to shrink, variations in system parameters during the lithography process often result in heavy deviations from the intended targets, making control of these parameters crucial to ensure that lithography results meet process requirements. Gaining a thorough comprehension of how various parameters interact and contribute to lithography errors is significant, and it is equally important to offer precise suggestions for managing these parameters in extreme ultraviolet lithography (EUVL) scanners. This paper analyzes the key physical factors in the light source, illumination system and projection system of EUVL scanners and proposes what we believe to be a new methodology of budget analysis utilizing the superposition of light intensity fluctuations. Then the corresponding characteristics of light intensity fluctuations are analyzed when these parameters have fluctuated through theoretical formula derivation. A mapping model was established between parameter fluctuations and imaging outcomes through the distribution of light intensity. The yield requirements for critical dimension and pattern shift in EUVL are used to determine the exact budget range for each parameter in the proposed methodology. By controlling the parameters according to the budget analysis method proposed in this paper, the deviation between the experimental results from the yield requirements is no more than 0.1% in average. This approach allows for dynamic updating of the control range of relevant parameters based on their distinct characteristics to accommodate the unique fingerprints of various EUVL scanners. Furthermore, based on this adaptive budget range of multiple parameters, it can offer distinct direction for the development of lithography equipment or serve as a clear indication for parameter monitoring.

2.
Opt Express ; 31(22): 36061-36077, 2023 Oct 23.
Artigo em Inglês | MEDLINE | ID: mdl-38017764

RESUMO

This paper reminds the principle and characteristics of plasmonic lithography, and points out the importance of establishing a fast and high precision plasmonic lithography imaging model and developing computational lithography. According to the characteristics of plasmonic lithography, the rigorous coupled-wave analysis (RCWA) algorithm is a very suitable alternative algorithm. In this paper, a three-dimensional plasmonic lithography model based on RCWA algorithm is established for computational lithography requirements. This model improves the existing RCWA algorithm, that is, deduces the formula for calculating the light field inside the structure and proposes the integration, storage and invocation of the scattering matrix to improve the computation speed. Finally, the results are compared with commercial software for the two typical patterns. The results show that the two calculation results are very close, with the root mean square error (RMSE) less than 0.04 (V/m)2. In addition, the calculation speed can be increased by more than 2 times in the first calculation, and by about 8 times by integrating, storing and invoking the scattering matrix, which creates conditions for the development of plasmonic computational lithography.

3.
Opt Express ; 30(19): 33869-33885, 2022 Sep 12.
Artigo em Inglês | MEDLINE | ID: mdl-36242413

RESUMO

Plasmonic lithography can make the evanescent wave at the mask be resonantly amplified by exciting surface plasmon polariton (SPP) and participate in imaging, which can break through the diffraction limit in conventional lithography. It provides a reliable technical way for the study of low-cost, large-area and efficient nanolithography technology. However, there is also a phenomenon in plasmonic lithography similar to the forbidden pitch in conventional projection lithography. In this paper, combined with the imaging model and the optical transfer function (OTF), the theoretical analysis points out the reasons for the existence of the phenomenon of forbidden pitch in plasmonic lithography. Taking the 365 nm wavelength Ag thin film-based superlens imaging lithography as an example, the positions of the forbidden pitches of the 1:1 mask, the bright-field mask and the dark-field mask are calculated separately, and the key factors affecting their positions are pointed out. Simulation is carried out through commercial software, and the correctness of theoretical analysis is verified. Finally, we summarize and give some possible suggestions for solving this problem, including exploring better illumination methods, avoiding the patterns with forbidden pitch in the design, or by adding assistant feature to the design.

4.
Opt Express ; 30(7): 11944-11958, 2022 Mar 28.
Artigo em Inglês | MEDLINE | ID: mdl-35473126

RESUMO

The effects of thick-mask and oblique incidence in extreme ultraviolet (EUV) lithography system make the aerial image calculation a challenging task. This paper develops a fast EUV lithography aerial image model based on a new kind of deep learning framework called adjoint fully convolutional network (AFCN). The AFCN consists of two adjoint data paths to respectively recover the real part and imaginary part of the complex mask diffraction-near-field (DNF). The feature-swapping technique is introduced to exchange the information between the real and imaginary data paths. The AFCN is trained based on a pre-calculated rigorous thick-mask DNF dataset. Given the estimated thick-mask DNF, the Abbe's method is used to calculate the aerial image of the partially coherent lithography system. Compared to the traditional non-parametric kernel regression method, the proposed model reduces the error by more than 80% and achieves 25-fold improvement in computational efficiency.

5.
Appl Opt ; 59(9): 2829-2838, 2020 Mar 20.
Artigo em Inglês | MEDLINE | ID: mdl-32225832

RESUMO

Near-field calculation for a three-dimensional (3D) mask is a fundamental task in extreme ultraviolet (EUV) lithography simulations. This paper develops a fast 3D mask near-field calculation method based on machine learning for EUV lithography. First, the training libraries of rigorous mask near fields are built based on a set of representative mask samples and reference source points. In the testing stage, the mask under consideration is first segmented into a set of non-overlapped patches. Then the local near field of each patch is calculated based on the non-parametric regression and data fusion techniques. Finally, the entire mask near field is synthesized based on the image stitching and data fitting methods. The proposed method is shown to achieve higher accuracy compared to the traditional domain decomposition method. In addition, the computational efficiency is improved up to an order of magnitude compared to the rigorous electromagnetic field simulator.

6.
Opt Express ; 27(16): 22563-22581, 2019 Aug 05.
Artigo em Inglês | MEDLINE | ID: mdl-31510546

RESUMO

Extreme ultraviolet (EUV) lithography emerges as a promising technique to fabricate next-generation integrated circuits. In order to improve the lithography imaging fidelity, source optimization (SO) technique is widely used to compensate for the imaging distortion. This paper develops an efficient learning-based SO approach for EUV lithography under the compressive sensing (CS) framework. The dimensionality of EUV-SO problem is significantly reduced by sparsely sampling the layout pattern. Then, the EUV-SO is formulated as an l1-norm inverse reconstruction problem based on the sparse prior of source patterns. The cost function is established based on a rigorous imaging model to take into account the characteristic effects in EUV lithography systems. In addition, a learning-based method is proposed to jointly optimize the source dictionary and projection matrix according to the sparsity and incoherence conditions in CS theory. The optimal source dictionary and projection matrix can be learned from a set of training samples collected from typical layout features in advance. Then, the optimized dictionary and projection matrix can be repetitively used in the following SO algorithms. Based on a set of simulations, the proposed SO method is proved to achieve good performance in both imaging fidelity and computational efficiency.

7.
Opt Lett ; 42(15): 2934-2937, 2017 Aug 01.
Artigo em Inglês | MEDLINE | ID: mdl-28957211

RESUMO

Residual resist in the gate caused by wafer topography would prevent part of the fins from ion implantation. It is a big concern in semiconductor manufacturing. The optical interference intensity improvement of the region located between two gates induced by substituting the material of the sidewall of the gate with silicon oxide is discussed in this Letter. The relationship between the thickness of silicon oxide and optical intensity at the fin's top is also established from the rigorous coupled wave analysis method. Based on this correlation, the method for mitigating the wafer topography of the implantation process is put forward and evaluated from rigorous numerical simulations. The proposed method requires neither a specific system setup nor an additional etch process, which is a tremendous cost saver in mass production.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...