Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 91
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Nanoscale Horiz ; 9(4): 555-565, 2024 Mar 25.
Artigo em Inglês | MEDLINE | ID: mdl-38353654

RESUMO

Germanium nanowires could be the building blocks of hole-spin qubit quantum computers. Selective area epitaxy enables the direct integration of Ge nanowires on a silicon chip while controlling the device design, density, and scalability. For this to become a reality, it is essential to understand and control the initial stages of the epitaxy process. In this work, we highlight the importance of surface treatment in the reactor prior to growth to achieve high crystal quality and connected Ge nanowire structures. In particular, we demonstrate that exposure to AsH3 during the high-temperature treatment enhances lateral growth of initial Ge islands and promotes faster formation of continuous Ge nanowires in trenches. The Kolmogorov-Johnson-Mehl-Avrami crystallization model supports our explanation of Ge coalescence. These results provide critical insight into the selective epitaxy of horizontal Ge nanowires on lattice-mismatched Si substrates, which can be translated to other material systems.

2.
Nat Commun ; 15(1): 103, 2024 Jan 02.
Artigo em Inglês | MEDLINE | ID: mdl-38167839

RESUMO

Terahertz (THz) radiation will play a pivotal role in wireless communications, sensing, spectroscopy and imaging technologies in the decades to come. THz emitters and receivers should thus be simplified in their design and miniaturized to become a commodity. In this work we demonstrate scalable photoconductive THz receivers based on horizontally-grown InAs nanowires (NWs) embedded in a bow-tie antenna that work at room temperature. The NWs provide a short photoconductivity lifetime while conserving high electron mobility. The large surface-to-volume ratio also ensures low dark current and thus low thermal noise, compared to narrow-bandgap bulk devices. By engineering the NW morphology, the NWs exhibit greatly different photoconductivity lifetimes, enabling the receivers to detect THz photons via both direct and integrating sampling modes. The broadband NW receivers are compatible with gating lasers across the entire range of telecom wavelengths (1.2-1.6 µm) and thus are ideal for inexpensive all-optical fibre-based THz time-domain spectroscopy and imaging systems. The devices are deterministically positioned by lithography and thus scalable to the wafer scale, opening the path for a new generation of commercial THz receivers.

3.
Cryst Growth Des ; 23(7): 5083-5092, 2023 Jul 05.
Artigo em Inglês | MEDLINE | ID: mdl-37426543

RESUMO

Selective area epitaxy at the nanoscale enables fabrication of high-quality nanostructures in regular arrays with predefined geometry. Here, we investigate the growth mechanisms of GaAs nanoridges on GaAs (100) substrates in selective area trenches by metal-organic vapor-phase epitaxy (MOVPE). It is found that pre-growth annealing results in the formation of valley-like structures of GaAs with atomic terraces inside the trenches. MOVPE growth of GaAs nanoridges consists of three distinct stages. Filling the trench in the first stage exhibits a step-flow growth behavior. Once the structure grows above the mask surface, it enters the second stage of growth by forming {101} side facets as the (100) flat top facet progressively shrinks. In the third stage, the fully formed nanoridge begins to overgrow onto the mask with a significantly reduced growth rate. We develop a kinetic model that accurately describes the width-dependent evolution of the nanoridge morphology through all three stages. MOVPE growth of fully formed nanoridges takes only about 1 min, which is 60 times faster than in our set of molecular beam epitaxy (MBE) experiments reported recently, and with a more regular, triangular cross-sectional geometry defined solely by the {101} facets. In contrast to MBE, no material loss due to Ga adatom diffusion onto the mask surface is observed in MOVPE until the third stage of growth. These results are useful for the fabrication of GaAs nanoridges of different dimensions on the same substrate for various applications and can be extended to other material systems.

4.
Nanotechnology ; 34(44)2023 Aug 16.
Artigo em Inglês | MEDLINE | ID: mdl-37494897

RESUMO

Semiconductor nanowires (NWs) in horizontal configuration could provide a path for scalable NW-based devices. Bottom-up large-scale manufacturing of these nanostructures by selective area epitaxy (SAE) relies on precise nanopatterning of various shapes on the growth masks. Electron beam lithography offers an extraordinary accuracy suited for the purpose. However, this technique is not economically viable for large production as it has a low throughput and requires high investment and operational costs. Nanoimprint lithography (NIL) has the potential to reduce fabrication time and costs significantly while requiring less sophisticated equipment. In this work, we utilize both thermal and UV NIL for patterning substrates for SAE, elucidating the advantages and disadvantages of each lithography technique. We demonstrate the epitaxial growth of Ge and GaAs NWs on these substrates, where we observe high-quality mono-crystalline structures. Even though both processes can produce small uniform structures suitable for SAE, our results show that UV NIL proves to be superior and enables reliable and efficient patterning of sub-100 nm mask features at the wafer scale.

5.
Nano Lett ; 23(14): 6284-6291, 2023 Jul 26.
Artigo em Inglês | MEDLINE | ID: mdl-37402180

RESUMO

Selective area epitaxy is a promising approach to define nanowire networks for topological quantum computing. However, it is challenging to concurrently engineer nanowire morphology, for carrier confinement, and precision doping, to tune carrier density. We report a strategy to promote Si dopant incorporation and suppress dopant diffusion in remote doped InGaAs nanowires templated by GaAs nanomembrane networks. Growth of a dilute AlGaAs layer following doping of the GaAs nanomembrane induces incorporation of Si that otherwise segregates to the growth surface, enabling precise control of the spacing between the Si donors and the undoped InGaAs channel; a simple model captures the influence of Al on the Si incorporation rate. Finite element modeling confirms that a high electron density is produced in the channel.

6.
Nanoscale Adv ; 5(4): 1152-1162, 2023 Feb 14.
Artigo em Inglês | MEDLINE | ID: mdl-36798495

RESUMO

Monolithic integration of InSb on Si could be a key enabler for future electronic and optoelectronic applications. In this work, we report the fabrication of InSb metal-semiconductor-metal photodetectors directly on Si using a CMOS-compatible process known as rapid melt growth. Fourier transform spectroscopy demonstrates a spectrally resolved photocurrent peak from a single crystalline InSb nanostructure with dimensions of 500 nm × 1.1 µm × 120 nm. Time-dependent optical characterization of a device under 1550 nm illumination indicated a stable photoresponse with responsivity of 0.50 A W-1 at 16 nW illumination, with a time constant in the range of milliseconds. Electron backscatter diffraction spectroscopy revealed that the single crystalline InSb nanostructures contain occasional twin defects and crystal lattice twist around the growth axis, in addition to residual strain, possibly causing the observation of a low-energy tail in the detector response extending the photosensitivity out to 10 µm wavelengths (0.12 eV) at 77 K.

7.
Faraday Discuss ; 239(0): 202-218, 2022 Oct 28.
Artigo em Inglês | MEDLINE | ID: mdl-36305553

RESUMO

Predictive synthesis-structure-property relationships are at the core of materials design for novel applications. In this regard, correlations between the compositional stoichiometry variations and functional properties are essential for enhancing the performance of devices based on these materials. In this work, we investigate the effect of stoichiometry variations and defects on the structural and optoelectronic properties of monocrystalline zinc phosphide (Zn3P2), a promising compound for photovoltaic applications. We use experimental methods, such as electron and X-ray diffraction and Raman spectroscopy, along with density functional theory calculations, to showcase the favorable creation of P interstitial defects over Zn vacancies in P-rich and Zn-poor compositional regions. Photoluminescence and absorption measurements show that these defects create additional energy levels at about 180 meV above the valence band. Furthermore, they lead to the narrowing of the bandgap, due to the creation of band tails in the region of around 10-20 meV above the valence and below the conduction band. The ability of zinc phosphide to form off-stoichiometric compounds provides a new promising opportunity for tunable functionality that benefits applications. In that regard, this study is crucial for the further development of zinc phosphide and its application in optoelectronic and photovoltaic devices, and should pave the way for defect engineering in this kind of material.

8.
Nanotechnology ; 33(48)2022 Sep 08.
Artigo em Inglês | MEDLINE | ID: mdl-35952545

RESUMO

Selective area epitaxy (SAE) provides the path for scalable fabrication of semiconductor nanostructures in a device-compatible configuration. In the current paradigm, SAE is understood as localized epitaxy, and is modelled by combining planar and self-assembled nanowire growth mechanisms. Here we use GaAs SAE as a model system to provide a different perspective. First, we provide evidence of the significant impact of the annealing stage in the calculation of the growth rates. Then, by elucidating the effect of geometrical constraints on the growth of the semiconductor crystal, we demonstrate the role of adatom desorption and resorption beyond the direct-impingement and diffusion-limited regime. Our theoretical model explains the effect of these constraints on the growth, and in particular why the SAE growth rate is highly sensitive to the pattern geometry. Finally, the disagreement of the model at the largest pitch points to non-negligible multiple adatom recycling between patterned features. Overall, our findings point out the importance of considering adatom diffusion, adsorption and desorption dynamics in designing the SAE pattern to create pre-determined nanoscale structures across a wafer. These results are fundamental for the SAE process to become viable in the semiconductor industry.

9.
ACS Appl Energy Mater ; 5(5): 5298-5306, 2022 May 23.
Artigo em Inglês | MEDLINE | ID: mdl-35647493

RESUMO

Growth approaches that limit the interface area between layers to nanoscale regions are emerging as a promising pathway to limit the interface defect formation due to mismatching lattice parameters or thermal expansion coefficient. Interfacial defect mitigation is of great interest in photovoltaics as it opens up more material combinations for use in devices. Herein, an overview of the vapor-liquid-solid and selective area epitaxy growth approaches applied to zinc phosphide (Zn3P2), an earth-abundant absorber material, is presented. First, we show how different morphologies, including nanowires, nanopyramids, and thin films, can be achieved by tuning the growth conditions and growth mechanisms. The growth conditions are also shown to greatly impact the defect structure and composition of the grown material, which can vary considerably from the ideal stoichiometry (Zn3P2). Finally, the functional properties are characterized. The direct band gap could accurately be determined at 1.50 ± 0.1 eV, and through complementary density functional theory calculations, we can identify a range of higher-order band gap transitions observed through valence electron energy loss spectroscopy and cathodoluminescence. Furthermore, we outline the formation of rotated domains inside of the material, which are a potential origin of defect transitions that have been long observed in zinc phosphide but not yet explained. The basic understanding provided reinvigorates the potential use of earth-abundant II-V semiconductors in photovoltaic technology. Moreover, the transferrable nanoscale growth approaches have the potential to be applied to other material systems, as they mitigate the constraints of substrate-material combinations causing interface defects.

10.
Rev Sci Instrum ; 93(5): 053702, 2022 May 01.
Artigo em Inglês | MEDLINE | ID: mdl-35649803

RESUMO

Hyperspectral imaging is an important asset of modern spectroscopy. It allows us to perform optical metrology at a high spatial resolution, for example in cathodoluminescence in scanning electron microscopy. However, hyperspectral datasets present added challenges in their analysis compared to individually taken spectra due to their lower signal to noise ratio and specific aberrations. On the other hand, the large volume of information in a hyperspectral dataset allows the application of advanced statistical analysis methods derived from machine-learning. In this article, we present a methodology to perform model fitting on hyperspectral maps, leveraging principal component analysis to perform a thorough noise analysis of the dataset. We explain how to correct the imaging shift artifact, specific to imaging spectroscopy, by directly evaluating it from the data. The impact of goodness-of-fit-indicators and parameter uncertainties is discussed. We provide indications on how to apply this technique to a variety of hyperspectral datasets acquired using other experimental techniques. As a practical example, we provide an implementation of this analysis using the open-source Python library hyperspy, which is implemented using the well established Jupyter Notebook framework in the scientific community.

11.
Nano Lett ; 22(10): 4269-4275, 2022 May 25.
Artigo em Inglês | MEDLINE | ID: mdl-35507698

RESUMO

Holes in germanium nanowires have emerged as a realistic platform for quantum computing based on spin qubit logic. On top of the large spin-orbit coupling that allows fast qubit operation, nanowire geometry and orientation can be tuned to cancel out charge noise and hyperfine interaction. Here, we demonstrate a scalable approach to synthesize and organize Ge nanowires on silicon (100)-oriented substrates. Germanium nanowire networks are obtained by selectively growing on nanopatterned slits in a metalorganic vapor phase epitaxy system. Low-temperature electronic transport measurements are performed on nanowire Hall bar devices revealing high hole doping of ∼1018 cm-3 and mean free path of ∼10 nm. Quantum diffusive transport phenomena, universal conductance fluctuations, and weak antilocalization are revealed through magneto transport measurements yielding a coherence and a spin-orbit length of the order of 100 and 10 nm, respectively.

12.
ACS Appl Nano Mater ; 5(4): 5508-5515, 2022 Apr 22.
Artigo em Inglês | MEDLINE | ID: mdl-35492438

RESUMO

III-V semiconductors outperform Si in many optoelectronics applications due to their high carrier mobility, efficient light emission and absorption processes, and the possibility to engineer their band gap through alloying. However, complementing Si technology with III-V semiconductors by integration on Si(100) remains a challenge still today. Vertical nanospades (NSPDs) are quasi-bi-crystal III-V nanostructures that grow on Si(100). Here, we showcase the potential of these structures in optoelectronics application by demonstrating InGaAs heterostructures on GaAs NSPDs that exhibit bright emission in the near-infrared region. Using cathodoluminescence hyperspectral imaging, we are able to study light emission properties at a few nanometers of spatial resolution, well below the optical diffraction limit. We observe a symmetric spatial luminescence splitting throughout the NSPD. We correlate this characteristic to the structure's crystal nature, thus opening new perspectives for dual wavelength light-emitting diode structures. This work paves the path for integrating optically active III-V structures on the Si(100) platform.

13.
Mater Adv ; 3(2): 1295-1303, 2022 Jan 24.
Artigo em Inglês | MEDLINE | ID: mdl-35178521

RESUMO

Zinc phosphide, Zn3P2, is a semiconductor with a high absorption coefficient in the spectral range relevant for single junction photovoltaic applications. It is made of elements abundant in the Earth's crust, opening up a pathway for large deployment of solar cell alternatives to the silicon market. Here we provide a thorough study of the optical properties of single crystalline Zn3P2 thin films grown on (100) InP by molecular beam epitaxy. The films are slightly phosphorus-rich as determined by Rutherford backscattering. We elucidate two main radiative recombination pathways: one transition at approximately 1.52 eV attributed to zone-center band-to-band electronic transitions; and a lower-energy transition observed at 1.3 eV to 1.4 eV attributed to a defect band or band tail related recombination mechanisms. We believe phosphorus interstitials are likely at the origin of this band.

14.
Nanoscale Horiz ; 7(2): 211-219, 2022 Jan 31.
Artigo em Inglês | MEDLINE | ID: mdl-35040457

RESUMO

Large-scale patterning for vapor-liquid-solid growth of III-V nanowires is a challenge given the required feature size for patterning (45 to 60 nm holes). In fact, arrays are traditionally manufactured using electron-beam lithography,for which processing times increase greatly when expanding the exposure area. In order to bring nanowire arrays one step closer to the wafer-scale we take a different approach and replace patterned nanoscale holes with Si nanopillar arrays. The method is compatible with photolithography methods such as phase-shift lithography or deep ultraviolet (DUV) stepper lithography. We provide clear evidence on the advantage of using nanopillars as opposed to nanoscale holes both for the control on the growth mechanisms and for the scalability. We identify the engineering of the contact angle as the key parameter to optimize the yield. In particular, we demonstrate how nanopillar oxidation is key to stabilize the Ga catalyst droplet and engineer the contact angle. We demonstrate how the position of the triple phase line at the SiO2/Si as opposed to the SiO2/vacuum interface is central for a successful growth. We compare our experiments with simulations performed in surface evolver™ and observe a strong correlation. Large-scale arrays using phase-shift lithography result in a maximum local vertical yield of 67% and a global chip-scale yield of 40%. We believe that, through a greater control over key processing steps typically achieved in a semiconductor fab it is possible to push this yield to 90+% and open perspectives for deterministic nanowire phase engineering at the wafer-scale.

15.
Phys Chem Chem Phys ; 24(1): 63-72, 2021 Dec 22.
Artigo em Inglês | MEDLINE | ID: mdl-34851345

RESUMO

Zinc phosphide (Zn3P2) is a II-V compound semiconductor with promising photovoltaic and thermoelectric applications. Its complex structure is susceptible to facile defect formation, which plays a key role in further optimization of the material. Raman spectroscopy can be effectively used for defect characterization. However, the Raman tensor of Zn3P2, which determines the intensity of Raman peaks and anisotropy of inelastic light scattering, is still unknown. In this paper, we use angle-resolved polarization Raman measurements on stoichiometric monocrystalline Zn3P2 thin films to obtain the Raman tensor of Zn3P2. This has allowed determination of the Raman tensor elements characteristic for the A1g, B1g and B2g vibrational modes. These results have been compared with the theoretically obtained Raman tensor elements and simulated Raman spectra from the lattice-dynamics calculations using first-principles force constants. Excellent agreement is found between the experimental and simulated Raman spectra of Zn3P2 for various polarization configurations, providing a platform for future characterization of the defects in this material.

16.
Nanoscale ; 13(44): 18441-18450, 2021 Nov 18.
Artigo em Inglês | MEDLINE | ID: mdl-34751695

RESUMO

Zinc phosphide (Zn3P2) is an ideal absorber candidate for solar cells thanks to its direct bandgap, earth-abundance, and optoelectronic characteristics, albeit it has been insufficiently investigated due to limitations in the fabrication of high-quality material. It is possible to overcome these factors by obtaining the material as nanostructures, e.g. via the selective area epitaxy approach, enabling additional strain relaxation mechanisms and minimizing the interface area. We demonstrate that Zn3P2 nanowires grow mostly defect-free when growth is oriented along the [100] and [110] of the crystal, which is obtained in nanoscale openings along the [110] and [010] on InP(100). We detect the presence of two stable rotated crystal domains that coexist in the structure. They are due to a change in the growth facet, which originates either from the island formation and merging in the initial stages of growth or lateral overgrowth. These domains have been visualized through 3D atomic models and confirmed with image simulations of the atomic scale electron micrographs. Density functional theory simulations describe the rotated domains' formation mechanism and demonstrate their lattice-matched epitaxial relation. In addition, the energies of the shallow states predicted closely agree with transition energies observed by experimental studies and offer a potential origin for these defect transitions. Our study represents an important step forward in the understanding of Zn3P2 and thus for the realisation of solar cells to respond to the present call for sustainable photovoltaic technology.

17.
Nanoscale ; 13(32): 13650-13657, 2021 Aug 28.
Artigo em Inglês | MEDLINE | ID: mdl-34477640

RESUMO

Novel preparative approaches towards lamellar nanocomposites of carbon and inorganic materials are relevant for a broad range of technological applications. Here, we describe how to utilize the co-assembly of a liquid-crystalline hexaphenylene amphiphile and an aluminosilicate precursor to prepare carbon-aluminosilicate nanocomposites with controlled lamellar orientation and macroscopic order. To this end, the shear-induced alignment of a precursor phase of the two components resulted in thin films comprising lamellae with periodicities on the order of the molecular length scale, an "edge-on" orientation relative to the substrate and parallel to the shearing direction with order on the centimeter length scale. The lamellar structure, orientation, and macroscopic alignment were preserved in the subsequent pyrolysis that yielded the corresponding carbon-aluminosilicate nanocomposites.

18.
Nanoscale ; 13(31): 13451-13462, 2021 Aug 21.
Artigo em Inglês | MEDLINE | ID: mdl-34477750

RESUMO

Permalloy Ni80Fe20 is one of the key magnetic materials in the field of magnonics. Its potential would be further unveiled if it could be deposited in three dimensional (3D) architectures of sizes down to the nanometer. Atomic Layer Deposition, ALD, is the technique of choice for covering arbitrary shapes with homogeneous thin films. Early successes with ferromagnetic materials include nickel and cobalt. Still, challenges in depositing ferromagnetic alloys reside in the synthesis via decomposing the constituent elements at the same temperature and homogeneously. We report plasma-enhanced ALD to prepare permalloy Ni80Fe20 thin films and nanotubes using nickelocene and iron(iii) tert-butoxide as metal precursors, water as the oxidant agent and an in-cycle plasma enhanced reduction step with hydrogen. We have optimized the ALD cycle in terms of Ni : Fe atomic ratio and functional properties. We obtained a Gilbert damping of 0.013, a resistivity of 28 µΩ cm and an anisotropic magnetoresistance effect of 5.6 % in the planar thin film geometry. We demonstrate that the process also works for covering GaAs nanowires, resulting in permalloy nanotubes with high aspect ratios and diameters of about 150 nm. Individual nanotubes were investigated in terms of crystal phase, composition and spin-dynamic response by microfocused Brillouin Light Scattering. Our results enable NiFe-based 3D spintronics and magnonic devices in curved and complex topology operated in the GHz frequency regime.

19.
Nano Lett ; 21(7): 3139-3145, 2021 Apr 14.
Artigo em Inglês | MEDLINE | ID: mdl-33818097

RESUMO

Selective area epitaxy constitutes a mainstream method to obtain reproducible nanomaterials. As a counterpart, self-assembly allows their growth without costly substrate preparation, with the drawback of uncontrolled positioning. We propose a mixed approach in which self-assembly is limited to reduced regions on a patterned silicon substrate. While nanowires grow with a wide distribution of diameters, we note a mostly binary occurrence of crystal phases. Self-catalyzed GaAs nanowires form in either a wurtzite or zincblende phase in the same growth run. Quite surprisingly, thicker nanowires are wurtzite and thinner nanowires are zincblende, while the common view predicts the reverse trend. We relate this phenomenon to the influx of Ga adatoms by surface diffusion, which results in different contact angles of Ga droplets. We demonstrate the wurtzite phase of thick GaAs NWs up to 200 nm in diameter in the Au-free approach, which has not been achieved so far to our knowledge.

20.
Nanoscale Adv ; 3(2): 326-332, 2021 Jan 26.
Artigo em Inglês | MEDLINE | ID: mdl-36131749

RESUMO

Large-scale deployment of thin-film photovoltaics will be facilitated through earth-abundant components. Herein, selective area epitaxy and lateral overgrowth epitaxy are explored for the growth of zinc phosphide (Zn3P2), a promising earth-abundant absorber. The ideal growth conditions are elucidated, and the nucleation of single-crystal nanopyramids that subsequently evolve towards coalesced thin-films is demonstrated. The zinc phosphide pyramids exhibit room temperature bandgap luminescence at 1.53 eV, indicating a high-quality material. The electrical properties of zinc phosphide and the junction with the substrate are assessed by conductive atomic force microscopy on n-type, p-type and intrinsic substrates. The measurements are consistent with the p-type characteristic of zinc phosphide. Overall, this constitutes a new, and transferrable, approach for the controlled and tunable growth of high-quality zinc phosphide, a step forward in the quest for earth-abundant photovoltaics.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...