Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 47
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Chem Mater ; 36(3): 1362-1374, 2024 Feb 13.
Artigo em Inglês | MEDLINE | ID: mdl-38370278

RESUMO

Molecular layer deposition (MLD) provides the opportunity to perform condensation polymerization one vaporized monomer at a time for the creation of precise, selective nanofilms for desalination membranes. Here, we compare the structure, chemistry, and morphology of two types of commercial interfacial polymerzation (IP) membranes with lab-made MLD films. M-phenylenediamine (MPD) and trimesoyl chloride (TMC) produced a cross-linked, aromatic polyamide often used in reverse osmosis membranes at MLD growth rates of 2.9 Å/cycle at 115 °C. Likewise, piperazine (PIP) and TMC formed polypiperazine amide, a common selective layer in nanofiltration membranes, with MLD growth rates of 1.5 Å/cycle at 115 °C. Ellipsometry and X-ray reflectivity results suggest that the surface of the MLD films is comprised of polymer segments roughly two monomers in length, which are connected at one end to the cross-linked bulk layer. As a result of this structure as well as the triple-functionality of TMC, MPD-TMC had a temperature window of stable growth rate from 115 to 150 °C, which is unlike any non-cross-linked MLD chemistries reported in the literature. Compared to IP films, corresponding MLD films were denser and morphologically conformal, which suggests a reduction in void volumes; this explains the high degree of salt rejection and reduced flux previously observed for exceptionally thin MPD-TMC MLD membranes. Using X-ray photoelectron spectroscopy and infrared spectroscopy, MLD PIP-TMC films evidenced a completely cross-linked internal structure, which lacked amine and carboxyl groups, pointing to a hydrophobic bulk structure, ideal for optimized water flux. Grazing-incidence wide-angle X-ray scattering showed broad features in each polyamide with d-spacings of 5.0 Å in PIP-TMC compared to that of 3.8 Å in MPD-TMC. While MLD and IP films were structurally identical to PIP-TMC, MPD-TMC IP films had a structure that may have been altered by post-treatment compared to MLD films. These results provide foundational insights into the MLD process, structure-performance relationships, and membrane fabrication.

2.
Materials (Basel) ; 16(13)2023 Jun 29.
Artigo em Inglês | MEDLINE | ID: mdl-37445002

RESUMO

Delayed atomic layer deposition (ALD) of ZnO, i.e., area selective (AS)-ALD, was successfully achieved on silicon wafers (Si\SiO2) terminated with tris(dimethylamino)methylsilane (TDMAMS). This resist molecule was deposited in a home-built, near-atmospheric pressure, flow-through, gas-phase reactor. TDMAMS had previously been shown to react with Si\SiO2 in a single cycle/reaction and to drastically reduce the number of silanols that remain at the surface. ZnO was deposited in a commercial ALD system using dimethylzinc (DMZ) as the zinc precursor and H2O as the coreactant. Deposition of TDMAMS was confirmed by spectroscopic ellipsometry (SE), X-ray photoelectron spectroscopy (XPS), and wetting. ALD of ZnO, including its selectivity on TDMAMS-terminated Si\SiO2 (Si\SiO2\TDMAMS), was confirmed by in situ multi-wavelength ellipsometry, ex situ SE, XPS, and/or high-sensitivity/low-energy ion scattering (HS-LEIS). The thermal stability of the TDMAMS resist layer, which is an important parameter for AS-ALD, was investigated by heating Si\SiO2\TDMAMS in air and nitrogen at 330 °C. ALD of ZnO takes place more readily on Si\SiO2\TDMAMS heated in the air than in N2, suggesting greater damage to the surface heated in the air. To better understand the in situ ALD of ZnO on Si\SiO2\TDMAMS and modified (thermally stressed) forms of it, the ellipsometry results were plotted as the normalized growth per cycle. Even one short pulse of TDMAMS effectively passivates Si\SiO2. TDMAMS can be a useful, small-molecule inhibitor of ALD of ZnO on Si\SiO2 surfaces.

3.
Acc Chem Res ; 53(6): 1151-1160, 2020 Jun 16.
Artigo em Inglês | MEDLINE | ID: mdl-32476413

RESUMO

ConspectusAtomic layer control of semiconductor processing is needed as critical dimensions are progressively reduced below the 10 nm scale. Atomic layer deposition (ALD) methods are meeting this challenge and produce conformal thin film growth on high aspect ratio features. Atomic layer etching (ALE) techniques are also required that can remove material with atomic layer precision. ALE processes are defined using sequential, self-limiting reactions based on surface modification and volatile release. Plasma ALE methods employ energetic ion or neutral species to release the modified material anisotropically using sputtering. In contrast, thermal ALE processes utilize gas species to release the modified material isotropically using thermal reactions. Thermal ALE can be viewed as the "reverse of ALD".There are a number of mechanisms for thermal ALE that have developed over the last five years. This Account will first examine the fluorination and ligand-exchange mechanism for thermal ALE. This mechanism is applicable for many metal oxide and metal nitride materials. Subsequently, the "conversion etch" mechanisms will be explored that are derived from the conversion of the surface of the substrate to a new material. The "conversion etch" mechanisms are needed when the initial material does not have a viable etching pathway via fluorination and ligand-exchange or when the material has a volatile fluoride. The thermal ALE mechanisms founded on either oxidation or halogenation of the initial substrate will then be examined with an emphasis on metal thermal ALE. Lastly, thermal ALE mechanisms will be considered that are based on self-limiting surface ligands or temperature modulation mechanisms. These various mechanisms offer a wide range of pathways to remove material isotropically with atomic layer control.Thermal ALE will be required to fabricate advanced semiconductor devices. This fabrication will increasingly occur beyond the limits of lithography and will extend into the third dimension. The situation is like Manhattan during the advent of skyscrapers. When there was no more room on the ground, building started to move to the third dimension. Three-dimensional devices require a sequential series of deposition and etching steps to build the skyscraper structures. Some etching needs to be vertical and anisotropic to make the elevator shafts. Other etching needs to be horizontal and isotropic to form the hallways. The mechanisms of thermal ALE will be critical for the definition of isotropic ALE processes.Reaching beyond the limits of lithography will also increase the need for maskless processing. The mechanisms of thermal ALE lead to strategies for selective etching of one material in the presence of many materials. In addition, area-selective deposition can benefit from the ability of thermal ALE to enhance deposition on the desired growth surfaces by removing deposition from other surrounding surfaces. Looking ahead, thermal ALE will continue to provide unique capabilities and will grow in importance as a nanofabrication processing technique.

4.
ACS Appl Mater Interfaces ; 12(20): 22804-22814, 2020 May 20.
Artigo em Inglês | MEDLINE | ID: mdl-32309922

RESUMO

Atomic layer deposition (ALD) is a well-established technique for depositing nanoscale coatings with pristine control of film thickness and composition. The trimethylaluminum (TMA) and water (H2O) ALD chemistry is inarguably the most widely used and yet to date, we have little information about the atomic-scale structure of the amorphous aluminum oxide (AlOx) formed by this chemistry. This lack of understanding hinders our ability to establish process-structure-property relationships and ultimately limits technological advancements employing AlOx made via ALD. In this work, we employ synchrotron high-energy X-ray diffraction (HE-XRD) coupled with pair distribution function (PDF) analysis to characterize the atomic structure of amorphous AlOx ALD coatings. We combine ex situ and in operando HE-XRD measurements on ALD AlOx and fit these experimental data using stochastic structural modeling to reveal variations in the Al-O bond length, Al and O coordination environment, and extent of Al vacancies as a function of growth conditions. In particular, the local atomic structure of ALD AlOx is found to change with the substrate and number of ALD cycles. The observed trends are consistent with the formation of bulk Al2O3 surrounded by an O-rich surface layer. We deconvolute these data to reveal atomic-scale structural information for both the bulk and surface phases. Overall, this work demonstrates the usefulness of HE-XRD and PDF analysis in improving our understanding of the structure of amorphous ALD thin films and provides a pathway to evaluate how process changes impact the structure and properties of ALD films.

5.
Nano Lett ; 19(8): 5159-5166, 2019 Aug 14.
Artigo em Inglês | MEDLINE | ID: mdl-31251069

RESUMO

Thermal atomic layer etching (ALE) was demonstrated on ternary III-V compound semiconductors. In particular, thermal ALE on InGaAs and InAlAs was achieved with sequential, self-limiting fluorination and ligand-exchange reactions using hydrogen fluoride (HF) as the fluorination reactant and dimethylaluminum chloride (DMAC) as the ligand-exchange reactant. Thermal ALE was investigated on planar surfaces and three-dimensional nanostructures. The measured radial etch rates on In0.53Ga0.47As and In0.52Al0.48As vertical nanowires (VNWs) at 300 °C were 0.24 and 0.62 Å/cycle, respectively. An optimized thermal ALE process did not increase the surface roughness after 200 cycles. The etching process also displayed selectivity and orientation dependence. This new thermal ALE process in combination with in situ atomic layer deposition (ALD) was used to fabricate InGaAs gate-all-around structures with minimum width down to 3 nm. The in situ ALE-ALD process produced a sharp vertical MOS interface. Finally, the merits of thermal ALE were demonstrated in the fabrication of n-channel InGaAs FinFETs with record ON-state and OFF-state transistor performance. On the basis of this transistor demonstration, thermal ALE shows great promise for high-volume device manufacturing.

6.
Artigo em Inglês | MEDLINE | ID: mdl-33101567

RESUMO

Electron-enhanced atomic layer deposition (EE-ALD) was used to deposit boron nitride (BN) thin films at room temperature and 100 °C using sequential exposures of borazine (B3N3H6) and electrons. Electron-stimulated desorption (ESD) of hydrogen surface species and the corresponding creation of reactive dangling bonds are believed to facilitate borazine adsorption and reduce the temperature required for BN film deposition. In situ ellipsometry measurements showed that the BN film thickness increased linearly versus the number of EE-ALD cycles at room temperature. Maximum growth rates of ~3.2 Å/cycle were measured at electron energies of 80-160 eV. BN film growth was self-limiting versus borazine and electron exposures, as expected for an ALD process. The calculated average hydrogen ESD cross section was σ = 4.2 × 10-17 cm2. Ex situ spectroscopic ellipsometry measurements across the ~1 cm2 area of the BN film defined by the electron beam displayed good uniformity in thickness. Ex situ X-ray photoelectron spectroscopy and in situ Auger spectroscopy revealed high purity, slightly boron-rich BN films with C and O impurity levels <3 at. %. High-resolution transmission electron microscopy (HR-TEM) imaging revealed polycrystalline hexagonal and turbostratic BN with the basal planes approximately parallel to the substrate surface. Ex situ grazing incidence X-ray diffraction measurements observed peaks consistent with hexagonal BN with domain sizes of 1-2 nm. The BN EE-ALD growth rate of ~3.2 Å/cycle is close to the distance of 3.3 Å between BN planes in hexagonal BN. The growth rate and HR-TEM images suggest that approximately one monolayer of BN is deposited for every BN EE-ALD cycle. TEM and scanning TEM/electron energy loss spectroscopy measurements of BN EE-ALD on trenched wafers also showed preferential BN EE-ALD on the horizontal surfaces. This selective deposition on the horizontal surfaces suggests that EE-ALD may enable bottom-up filling of vias and trenches.

7.
ACS Appl Mater Interfaces ; 9(39): 34435-34447, 2017 Oct 04.
Artigo em Inglês | MEDLINE | ID: mdl-28876892

RESUMO

The thermal atomic layer etching (ALE) of WO3 and W was demonstrated with new "conversion-fluorination" and "oxidation-conversion-fluorination" etching mechanisms. Both of these mechanisms are based on sequential, self-limiting reactions. WO3 ALE was achieved by a "conversion-fluorination" mechanism using an AB exposure sequence with boron trichloride (BCl3) and hydrogen fluoride (HF). BCl3 converts the WO3 surface to a B2O3 layer while forming volatile WOxCly products. Subsequently, HF spontaneously etches the B2O3 layer producing volatile BF3 and H2O products. In situ spectroscopic ellipsometry (SE) studies determined that the BCl3 and HF reactions were self-limiting versus exposure. The WO3 ALE etch rates increased with temperature from 0.55 Å/cycle at 128 °C to 4.19 Å/cycle at 207 °C. W served as an etch stop because BCl3 and HF could not etch the underlying W film. W ALE was performed using a three-step "oxidation-conversion-fluorination" mechanism. In this ABC exposure sequence, the W surface is first oxidized to a WO3 layer using O2/O3. Subsequently, the WO3 layer is etched with BCl3 and HF. SE could simultaneously monitor the W and WO3 thicknesses and conversion of W to WO3. SE measurements showed that the W film thickness decreased linearly with number of ABC reaction cycles. W ALE was shown to be self-limiting with respect to each reaction in the ABC process. The etch rate for W ALE was ∼2.5 Å/cycle at 207 °C. An oxide thickness of ∼20 Å remained after W ALE, but could be removed by sequential BCl3 and HF exposures without affecting the W layer. These new etching mechanisms will enable the thermal ALE of a variety of additional metal materials including those that have volatile metal fluorides.

8.
Int J Pharm ; 525(1): 160-174, 2017 Jun 15.
Artigo em Inglês | MEDLINE | ID: mdl-28432020

RESUMO

Active pharmaceutical ingredients (APIs) are predominantly organic solid powders. Due to their bulk properties many APIs require processing to improve pharmaceutical formulation and manufacturing in the preparation for various drug dosage forms. Improved powder flow and protection of the APIs are often anticipated characteristics in pharmaceutical manufacturing. In this work, we have modified acetaminophen particles with atomic layer deposition (ALD) by conformal nanometer scale coatings in a one-step coating process. According to the results, ALD, utilizing common chemistries for Al2O3, TiO2 and ZnO, is shown to be a promising coating method for solid pharmaceutical powders. Acetaminophen does not undergo degradation during the ALD coating process and maintains its stable polymorphic structure. Acetaminophen with nanometer scale ALD coatings shows slowed drug release. ALD TiO2 coated acetaminophen particles show cytocompatibility whereas those coated with thicker ZnO coatings exhibit the most cytotoxicity among the ALD materials under study when assessed in vitro by their effect on intestinal Caco-2 cells.


Assuntos
Acetaminofen/química , Excipientes/química , Nanotecnologia , Células CACO-2 , Humanos , Pós , Propriedades de Superfície
9.
ACS Appl Mater Interfaces ; 9(11): 9614-9619, 2017 Mar 22.
Artigo em Inglês | MEDLINE | ID: mdl-28244725

RESUMO

Freestanding LiCoO2/multiwall carbon nanotube/nanocellulose fibril (LCO-MWCNT-NCF) electrodes are fabricated by a vacuum filtration technique. The electrode has a high LCO loading of 20 mg/cm2 with excellent flexibility, uniform material distribution, and low surface resistivity. When coated with 2 ALD cycles of AlF3, LCO-MWCNT-NCF has a high specific capacity of 216 mAh/g at 4.7 V. The freestanding AlF3-coated electrode preserves 75.7% of its initial capacity after 100 cycles and 70% after 160 cycles of charge discharge. In contrast, electrodes coated with 2 ALD cycles of Al2O3 cannot be cycled above 4.5 V. By elimination of the unnecessary weight of current collector, and increasing in the working voltage simultaneously, this freestanding LCO-MWCNT-NCF electrode can significantly improve the gravimetric and volumetric energy density of lithium ion batteries.

10.
ACS Appl Mater Interfaces ; 9(11): 10296-10307, 2017 Mar 22.
Artigo em Inglês | MEDLINE | ID: mdl-28240864

RESUMO

The thermal atomic layer etching (ALE) of SiO2 was performed using sequential reactions of trimethylaluminum (TMA) and hydrogen fluoride (HF) at 300 °C. Ex situ X-ray reflectivity (XRR) measurements revealed that the etch rate during SiO2 ALE was dependent on reactant pressure. SiO2 etch rates of 0.027, 0.15, 0.20, and 0.31 Å/cycle were observed at static reactant pressures of 0.1, 0.5, 1.0, and 4.0 Torr, respectively. Ex situ spectroscopic ellipsometry (SE) measurements were in agreement with these etch rates versus reactant pressure. In situ Fourier transform infrared (FTIR) spectroscopy investigations also observed SiO2 etching that was dependent on the static reactant pressures. The FTIR studies showed that the TMA and HF reactions displayed self-limiting behavior at the various reactant pressures. In addition, the FTIR spectra revealed that an Al2O3/aluminosilicate intermediate was present after the TMA exposures. The Al2O3/aluminosilicate intermediate is consistent with a "conversion-etch" mechanism where SiO2 is converted by TMA to Al2O3, aluminosilicates, and reduced silicon species following a family of reactions represented by 3SiO2 + 4Al(CH3)3 → 2Al2O3 + 3Si(CH3)4. Ex situ X-ray photoelectron spectroscopy (XPS) studies confirmed the reduction of silicon species after TMA exposures. Following the conversion reactions, HF can fluorinate the Al2O3 and aluminosilicates to species such as AlF3 and SiOxFy. Subsequently, TMA can remove the AlF3 and SiOxFy species by ligand-exchange transmetalation reactions and then convert additional SiO2 to Al2O3. The pressure-dependent conversion reaction of SiO2 to Al2O3 and aluminosilicates by TMA is critical for thermal SiO2 ALE. The "conversion-etch" mechanism may also provide pathways for additional materials to be etched using thermal ALE.

11.
J Chem Phys ; 146(5): 052819, 2017 Feb 07.
Artigo em Inglês | MEDLINE | ID: mdl-28178819

RESUMO

The thermal atomic layer etching (ALE) of Al2O3 can be performed using sequential and self-limiting reactions with trimethylaluminum (TMA) and hydrogen fluoride (HF) as the reactants. The atomic layer deposition (ALD) of AlF3 can also be accomplished using the same reactants. This paper examined the competition between Al2O3 ALE and AlF3 ALD using in situ Fourier transform infrared (FTIR) vibrational spectroscopy measurements on Al2O3 ALD-coated SiO2 nanoparticles. The FTIR spectra could observe an absorbance loss of the Al-O stretching vibrations during Al2O3 ALE or an absorbance gain of the Al-F stretching vibrations during AlF3 ALD. The transition from AlF3 ALD to Al2O3 ALE occurred versus reaction temperature and was also influenced by the N2 or He background gas pressure. Higher temperatures and lower background gas pressures led to Al2O3 ALE. Lower temperatures and higher background gas pressures led to AlF3 ALD. The FTIR measurements also monitored AlCH3* and HF* species on the surface after the TMA and HF reactant exposures. The loss of AlCH3* and HF* species at higher temperatures is believed to play a vital role in the transition between AlF3 ALD at lower temperatures and Al2O3 ALE at higher temperatures. The change between AlF3 ALD and Al2O3 ALE was defined by the transition temperature. Higher transition temperatures were observed using larger N2 or He background gas pressures. This correlation was associated with variations in the N2 or He gas thermal conductivity versus pressure. The fluorination reaction during Al2O3 ALE is very exothermic and leads to temperature rises in the SiO2 nanoparticles. These temperature transients influence the Al2O3 etching. The higher N2 and He gas thermal conductivities are able to cool the SiO2 nanoparticles more efficiently and minimize the size of the temperature rises. The competition between Al2O3 ALE and AlF3 ALD using TMA and HF illustrates the interplay between etching and growth and the importance of substrate temperature. Background gas pressure also plays a key role in determining the transition temperature for nanoparticle substrates.

12.
ACS Appl Mater Interfaces ; 8(28): 18560-9, 2016 Jul 20.
Artigo em Inglês | MEDLINE | ID: mdl-27351207

RESUMO

This work investigates the use of ozone as a post-treatment of ALD-grown MnO and as a coreactant with bis(ethylcyclopentadienyl)manganese (Mn(EtCp)2) in ALD-like film growth. In situ quartz crystal microbalance measurements are used to monitor the mass changes during growth, which are coupled with ex situ materials characterization following deposition to evaluate the resulting film composition and structure. We determined that during O3 post-treatment of ALD-grown MnO, O3 oxidizes the near-surface region corresponding to a conversion of 22 Å of the MnO film to MnO2. Following oxidation by O3, exposure of Mn(EtCp)2 results in mass gains of over 300 ng/cm(2), which exceeds the expected mass gain for reaction of the Mn(EtCp)2 precursor with surface hydroxyls by over four times. We attribute this high mass gain to adsorbed Mn(EtCp)2 shedding its EtCp ligands at the surface and releasing Mn(II) ions which subsequently diffuse into the bulk film and partially reduce the oxidized film back to MnO. These Mn(EtCp)2 and O3 reactions are combined in sequential steps with (a) Mn(EtCp)2 reacting at the surface of an O-rich layer, shedding its two EtCp ligands and freeing Mn(II) to diffuse into the film followed by (b) O3 oxidizing the film surface and withdrawing Mn from the subsurface to create an O-rich layer. This deposition process results in self-limiting multilayer deposition of crystalline Mn5O8 films with a density of 4.7 g/cm(3) and an anomalously high growth rate of 5.7 Å/cycle. Mn5O8 is a metastable phase of manganese oxide which possesses an intermediate composition between the alternating MnO and MnO2 compositions of the near-surface during the Mn(EtCp)2 and O3 exposures.

13.
ACS Nano ; 10(5): 4889-94, 2016 05 24.
Artigo em Inglês | MEDLINE | ID: mdl-27216115

RESUMO

Thermal atomic layer etching (ALE) of Al2O3 and HfO2 using sequential, self-limiting fluorination and ligand-exchange reactions was recently demonstrated using HF and tin acetylacetonate (Sn(acac)2) as the reactants. This new thermal pathway for ALE represents the reverse of atomic layer deposition (ALD) and should lead to isotropic etching. Atomic layer deposition and ALE can together define the atomic layer growth and removal steps required for advanced semiconductor fabrication. The thermal ALE of many materials should be possible using fluorination and ligand-exchange reactions. The chemical details of ligand-exchange can lead to selective ALE between various materials. Thermal ALE could produce conformal etching in high-aspect-ratio structures. Thermal ALE could also yield ultrasmooth thin films based on deposit/etch-back methods. Enhancement of ALE rates and possible anisotropic ALE could be achieved using radicals or ions together with thermal ALE.

14.
Chem Mater ; 282016.
Artigo em Inglês | MEDLINE | ID: mdl-31092972

RESUMO

Low energy electrons may provide mechanisms to enhance thin film growth at low temperatures. As a proof of concept, this work demonstrated the deposition of gallium nitride (GaN) films over areas of ∼5 cm2 at room temperature and 100 °C using electrons with a low energy of 50 eV from an electron flood gun. The GaN films were deposited on Si(111) wafers using a cycle of reactions similar to the sequence employed for GaN atomic layer deposition (ALD). Trimethylgallium (Ga(CH3)3, TMG), hydrogen (H) radicals and ammonia (NH3) were employed as the reactants with electron exposures included in the reaction cycle after the TMG/H and NH3 exposures. A number of ex situ techniques were then employed to analyze the GaN films. Spectroscopic ellipsometry measurements revealed that the GaN films grew linearly with the number of reaction cycles. Linear growth rates of up to 1.3 Å/ cycle were obtained from the surface areas receiving the highest electron fluxes. Grazing incidence X-ray diffraction analysis revealed polycrystalline GaN films with the wurtzite crystal structure. Transmission electron microscopy (TEM) images showed crystalline grains with diameters between 2 and 10 nm depending on the growth temperature. X-ray photoelectron spectroscopy depth-profiling displayed no oxygen contamination when the GaN films were capped with Al prior to atmospheric exposure. However, the carbon concentrations in the GaN films were 10-35 at. %. The mechanism for the low temperature GaN growth is believed to result from the electron stimulated desorption (ESD) of hydrogen. Hydrogen ESD yields dangling bonds that facilitate Ga-N bond formation. Mass spectrometry measurements performed concurrently with the reaction cycles revealed increases in the pressure of H2 and various GaN etch products during the electron beam exposures. The amount of H2 and GaN etch products increased with electron beam energy from 25 to 200 eV. These results indicate that the GaN growth occurs with competing GaN etching during the reaction cycles.

15.
ACS Appl Mater Interfaces ; 7(50): 27735-42, 2015 Dec 23.
Artigo em Inglês | MEDLINE | ID: mdl-26606590

RESUMO

Amorphous SnO2 (a-SnO2) thin films were conformally coated onto the surface of reduced graphene oxide (G) using atomic layer deposition (ALD). The electrochemical characteristics of the a-SnO2/G nanocomposites were then determined using cyclic voltammetry and galvanostatic charge/discharge curves. Because the SnO2 ALD films were ultrathin and amorphous, the impact of the large volume expansion of SnO2 upon cycling was greatly reduced. With as few as five formation cycles best reported in the literature, a-SnO2/G nanocomposites reached stable capacities of 800 mAh g(-1) at 100 mA g(-1) and 450 mAh g(-1) at 1000 mA g(-1). The capacity from a-SnO2 is higher than the bulk theoretical values. The extra capacity is attributed to additional interfacial charge storage resulting from the high surface area of the a-SnO2/G nanocomposites. These results demonstrate that metal oxide ALD on high surface area conducting carbon substrates can be used to fabricate high power and high capacity electrode materials for lithium-ion batteries.

16.
Nanoscale ; 7(42): 17923-8, 2015 Nov 14.
Artigo em Inglês | MEDLINE | ID: mdl-26463738

RESUMO

Work presented here measures and interprets the electrical and thermal conductivities of atomic layer deposited (ALD) free-standing single film and periodic tungsten and aluminum oxide nanobridges with thicknesses from ∼5-20 nm and ∼3-13 nm, respectively. Electrical conductivity of the W films is reduced by up to 99% from bulk, while thermal conductivity is reduced by up to 91%. Results indicate phonon contribution to thermal conductivity is dominant in these ALD films and may be substantially reduced by the incorporation of periodicity in the ALD W/Al2O3 nanolaminates. Additionally, thin film conduction modeling demonstrates nano-structured grain features largely dictate electron and phonon conduction in ALD W. New fabrication methods have allowed for the development of free-standing ultra-thin structures with layers on the order of several nanometers utilizing ALD. While the literature contains diverse studies of the physical properties of thin films prepared by traditional micro-fabrication sputtering or chemical vapor deposition techniques, there remains little data on freestanding structures containing ALD generated materials. Specifically, knowledge of the electrical and thermal conductivity of ALD generated materials will aid in the future development of ultra-thin nano-devices.

17.
Nanotechnology ; 26(36): 365401, 2015 Sep 11.
Artigo em Inglês | MEDLINE | ID: mdl-26289444

RESUMO

Doped Si nanoparticles (SiNPs) with conformal carbon coating and cyclized-polyacrylonitrile (PAN) network displayed capacities of 3500 and 3000 mAh g(-1) at C/20 and C/10, respectively. At 1 C, the electrode preserves a specific discharge capacity of ∼1500 mAh g(-1) for at least 60 cycles without decay. Al2O3 atomic layer deposition (ALD) helps improve the initial Coulombic efficiency (CE) to 85%. The dual coating of conformal carbon and cyclized-PAN help alleviate volume change and facilitate charge transfer. Ultra-thin Al2O3 ALD layers help form a stable solid electrolyte interphase interface.

18.
ACS Appl Mater Interfaces ; 7(22): 11948-55, 2015 Jun 10.
Artigo em Inglês | MEDLINE | ID: mdl-25985821

RESUMO

Coating silicon particles with a suitable thin film has appeared as a possible solution to accommodate the swelling of silicon upon lithiation and its posterior cracking and pulverization during cycling of Li-ion batteries. In particular, aluminum alkoxide (alucone) films have been recently deposited over Si anodes, and the lithiation and electrochemical behavior of the system have been characterized. However, some questions remain regarding the lithium molecular migration mechanisms through the film and the electronic properties of the alucone film. Here we use density functional theory, ab initio molecular dynamics simulations, and Green's function theory to examine the film formation, lithiation, and reactivity in contact with an electrolyte solution. It is found that the film is composed of Al-O complexes with 3-O or 4-O coordination. During lithiation, Li atoms bind very strongly to the O atoms in the most energetically favorable sites. After the film is irreversibly saturated with Li atoms, it becomes electronically conductive. The ethylene carbonate molecules in liquid phase are found to be reduced at the surface of the Li-saturated alucone film following similar electron transfer mechanisms as found previously for lithiated silicon anodes. The theoretical results are in agreement with those from morphology and electrochemical analyses.

19.
ACS Appl Mater Interfaces ; 7(22): 12030-7, 2015 Jun 10.
Artigo em Inglês | MEDLINE | ID: mdl-25965097

RESUMO

The growth of Al2O3 films by atomic layer deposition (ALD) on model sp(2)-graphitic carbon substrates was evaluated following a nitrogen dioxide (NO2) and trimethylaluminum (TMA) pretreatment to deposit an Al2O3 adhesion layer. Al2O3 ALD using TMA and water (H2O) as the reactants was used to grow Al2O3 films on exfoliated highly ordered pyrolitic graphite (HOPG) at 150 °C with and without the pretreatment procedure consisting of five NO2/TMA cycles. The Al2O3 films on HOPG substrates were evaluated using spectroscopic ellipsometry and electrochemical analysis to determine film thickness and quality. These experiments revealed that five NO2/TMA cycles at 150 °C deposited an Al2O3 adhesion layer with a thickness of 5.7 ± 3.6 Å on the HOPG substrate. A larger number of NO2/TMA cycles at 150 °C deposited thicker Al2O3 films until reaching a limiting thickness of ∼80 Å. Electrochemical impedance spectroscopy (EIS) measurements revealed that five cycles of NO2/TMA pretreatment enabled the growth of high quality insulating Al2O3 films with high charge-transfer resistance after only 20 TMA/H2O Al2O3 ALD cycles. In contrast, with no NO2/TMA pretreatment, EIS measurements indicated that 100 TMA/H2O Al2O3 ALD cycles were necessary to produce an insulating Al2O3 film with high charge-transfer resistance. Al2O3 films grown after the NO2/TMA pretreatment at 150 °C were also demonstrated to have better resistance to dissolution in an aqueous environment.

20.
ACS Nano ; 9(5): 5559-66, 2015 May 26.
Artigo em Inglês | MEDLINE | ID: mdl-25893684

RESUMO

Silicon (Si)-based materials hold promise as the next-generation anodes for high-energy lithium (Li)-ion batteries. Enormous research efforts have been undertaken to mitigate the chemo-mechanical failure due to the large volume changes of Si during lithiation and delithiation cycles. It has been found that nanostructured Si coated with carbon or other functional materials can lead to significantly improved cyclability. However, the underlying mechanism and comparative performance of different coatings remain poorly understood. Herein, using in situ transmission electron microscopy (TEM) through a nanoscale half-cell battery, in combination with chemo-mechanical simulation, we explored the effect of thin (∼5 nm) alucone and Al2O3 coatings on the lithiation kinetics of Si nanowires (SiNWs). We observed that the alucone coating leads to a "V-shaped" lithiation front of the SiNWs, while the Al2O3 coating yields an "H-shaped" lithiation front. These observations indicate that the difference between the Li surface diffusivity and bulk lithiation rate of the coatings dictates lithiation induced morphological evolution in the nanowires. Our experiments also indicate that the reaction rate in the coating layer can be the limiting step for lithiation and therefore critically influences the rate performance of the battery. Further, the failure mechanism of the Al2O3 coated SiNWs was also explored. Our studies shed light on the design of high capacity, high rate and long cycle life Li-ion batteries.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...