Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 5 de 5
Filtrar
Mais filtros











Base de dados
Intervalo de ano de publicação
1.
ACS Appl Mater Interfaces ; 13(21): 25357-25364, 2021 Jun 02.
Artigo em Inglês | MEDLINE | ID: mdl-34004117

RESUMO

Directed self-assembly (DSA) of block copolymers (BCP) has attracted considerable interest from the semiconductor industry because it can achieve semiconductor-relevant structures with a relatively simple process and low cost. However, the self-assembling structures can become kinetically trapped into defective states, which greatly impedes the implementation of DSA in high-volume manufacturing. Understanding the kinetics of defect annihilation is crucial to optimizing the process and eventually eliminating defects in DSA. Such kinetic experiments, however, are not commonly available in academic laboratories. To address this challenge, we perform a kinetic study of chemoepitaxy DSA in a 300 mm wafer fab, where the complete defectivity information at various annealing conditions can be readily captured. Through extensive statistical analysis, we reveal the statistical model of defect annihilation in DSA for the first time. The annihilation kinetics can be well described by a power law model, indicating that all dislocations can be removed by sufficiently long annealing time. We further develop image analysis algorithms to analyze the distribution of dislocation size and configurations and discover that the distribution stays relatively constant over time. The defect distribution is determined by the role of the guiding stripe, which is found to stabilize the defects. Although this study is based on polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA), we anticipate that these findings can be readily applied to other BCP platforms as well.

2.
ACS Appl Mater Interfaces ; 10(27): 23414-23423, 2018 Jul 11.
Artigo em Inglês | MEDLINE | ID: mdl-29878751

RESUMO

Directed self-assembly (DSA) of block copolymers (BCPs) can achieve perfectly aligned structures at thermodynamic equilibrium, but the self-assembling morphology can become kinetically trapped in defective states. Understanding and optimizing the kinetic pathway toward domain alignment is crucial for enhancing process throughput and lowering defectivity to levels required for semiconductor manufacturing, but there is a dearth of experimental, three-dimensional studies of the kinetic pathways in DSA. Here, we combined arrested annealing and TEM tomography to probe the kinetics and structural evolution in the chemoepitaxy DSA of PS- b-PMMA with density multiplication. During the initial stages of annealing, BCP domains developed independently at first, with aligned structures at the template interface and randomly oriented domains at the top surface. As the grains coarsened, the assembly became cooperative throughout the film thickness, and a metastable stitch morphology was formed, representing a kinetic barrier. The stitch morphology had a three-dimensional structure consisting of both perpendicular and parallel lamellae. On the basis of the mechanistic information, we studied the effect of key design parameters on the kinetics and evolution of structures in DSA. Three types of structural evolutions were observed at different film thicknesses: (1) immediate alignment and fast assembly when thickness < L0 ( L0 = BCP natural periodicity); (2) formation of stitch morphology for 1.25-1.45 L0; (3) fingerprint formation when thickness >1.64 L0. We found that the DSA kinetics can be significantly improved by avoiding the formation of the metastable stitch morphology. Increasing template topography also enhanced the kinetics by increasing the PMMA guiding surface area. A combination of 0.75 L0 BCP thickness and 0.50 L0 template topography achieved perfect alignment over 100 times faster than the baseline process. This research demonstrates that an improved understanding of the evolution of structures during DSA can significantly improve the DSA process.

3.
ACS Appl Mater Interfaces ; 10(19): 16747-16759, 2018 May 16.
Artigo em Inglês | MEDLINE | ID: mdl-29667409

RESUMO

Polystyrene- block-poly(methyl methacrylate) (PS- b-PMMA) is one of the prototypical block copolymers in directed self-assembly (DSA) research and development, with standardized protocols in place for processing on industrially relevant 300 mm wafers. Scaling of DSA patterns to pitches below 20 nm using PS- b-PMMA, however, is hindered by the relatively low Flory-Huggins interaction parameter, χ. Here, we investigate the approach of adding small amounts of ionic liquids (ILs) into PS- b-PMMA, which selectively segregates into the PMMA domain and effectively increases the χ parameter and thus the pattern resolution. The amount of IL additive is small enough to result in limited changes in PS- b-PMMA's surface and interfacial properties, thus maintaining industry-friendly processing by thermal annealing with a free surface. Three different ILs are studied comparatively regarding their compositional process window, capability of increasing χ, and thermal stability. By adding ∼3.1 vol % of the champion IL into a low-molecular-weight PS- b-PMMA ( Mn = 10.3k- b-9.5k), we demonstrated DSA on chemically patterned substrates of lamellar structures with feature sizes <8.5 nm. Compatibility of the PS- b-PMMMA/IL blends with the standardized processes that have been previously developed suggests that such blend materials could provide a drop-in solution for sub-10 nm lithography with the processing advantages of PS- b-PMMA.

4.
ACS Appl Mater Interfaces ; 8(4): 2704-12, 2016 Feb 03.
Artigo em Inglês | MEDLINE | ID: mdl-26742859

RESUMO

Chemical patterns for directed self-assembly (DSA) of lamellae-forming block copolymers (BCP) with density multiplication can be fabricated by patterning resist on a cross-linked polystyrene layer, etching to create guide stripes, and depositing end-grafted brushes in between the stripes as background. To date, two-tone chemical patterns have been targeted with the guide stripes preferentially wet by one block of the copolymer and the background chemistry weakly preferentially wet by the other block. In the course of fabricating chemical patterns in an all-track process using 300 mm wafers, it was discovered that the etching process followed by brush grafting could produce a three-tone pattern. We characterized the three regions of the chemical patterns with a combination of SEM, grazing-incidence small-angle X-ray scattering (GISAXS), and assessment of BCP-wetting behavior, and evaluated the DSA behavior on patterns over a range of guide stripe widths. In its best form, the three-tone pattern consists of guide stripes preferentially wet by one block of the copolymer, each flanked by two additional stripes that wet the other block of the copolymer, with a third chemistry as the background. Three-tone patterns guide three times as many BCP domains as two-tone patterns and thus have the potential to provide a larger driving force for the system to assemble into the desired architecture with fewer defects in shorter time and over a larger process window.

5.
Proc Natl Acad Sci U S A ; 112(46): 14144-9, 2015 Nov 17.
Artigo em Inglês | MEDLINE | ID: mdl-26515095

RESUMO

Over the last few years, the directed self-assembly of block copolymers by surface patterns has transitioned from academic curiosity to viable contender for commercial fabrication of next-generation nanocircuits by lithography. Recently, it has become apparent that kinetics, and not only thermodynamics, plays a key role for the ability of a polymeric material to self-assemble into a perfect, defect-free ordered state. Perfection, in this context, implies not more than one defect, with characteristic dimensions on the order of 5 nm, over a sample area as large as 100 cm(2). In this work, we identify the key pathways and the corresponding free energy barriers for eliminating defects, and we demonstrate that an extraordinarily large thermodynamic driving force is not necessarily sufficient for their removal. By adopting a concerted computational and experimental approach, we explain the molecular origins of these barriers and how they depend on material characteristics, and we propose strategies designed to overcome them. The validity of our conclusions for industrially relevant patterning processes is established by relying on instruments and assembly lines that are only available at state-of-the-art fabrication facilities, and, through this confluence of fundamental and applied research, we are able to discern the evolution of morphology at the smallest relevant length scales-a handful of nanometers-and present a view of defect annihilation in directed self-assembly at an unprecedented level of detail.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA