Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 17 de 17
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
ACS Nano ; 15(6): 9550-9558, 2021 Jun 22.
Artigo em Inglês | MEDLINE | ID: mdl-34042425

RESUMO

We demonstrate the fabrication of sub-20 nm gate-all-around silicon (Si) nanowire field effect transistor structures using self-assembly. To create nanopatterned Si feature arrays, a block-copolymer-assisted hard mask approach was utilized using a topographically patterned substrate with well-defined Si3N4 features for graphoepitaxially alignment of the self-assembled patterns. Microphase-separated long-range ordered polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block-copolymer-derived dot and line nanopatterns were achieved by a thermo-solvent approach within the substrate topographically defined channels of various widths and lengths. Solvent annealing parameters (temperature, annealing time, etc.) were varied to achieve the desired patterns. The BCP structures were modified by anhydrous ethanol to facilitate insertion of iron oxide features within the graphoepitaxial trenches that maintained the parent BCP arrangements. Vertical and horizontal ordered Si nanowire structures within trenches were fabricated using the iron oxide features as hard masks in an inductively coupled plasma (ICP) etch process. Cross-sectional micrographs depict wires of persistent width and flat sidewalls indicating the effectiveness of the mask. The aspect ratios could be varied by varying etch times. The sharp boundaries between the transistor components was also examined through the elemental mapping.

2.
Macromol Rapid Commun ; 38(16)2017 Aug.
Artigo em Inglês | MEDLINE | ID: mdl-28671756

RESUMO

Polymer brush films with chemical functionality to attach to site specific substrate areas are introduced for area selective deposition (ASD) application. It is demonstrated that polymer brushes with chemically defined end sites can be selectively bound to copper-specific regions of patterned copper/silica (Cu/SiO2 ) substrates. The process described overcomes various limitations of currently used technology including cost, complexity, and throughput, with potential implications for future electronic devices and nanomanufacturing. A comparative study of amine-terminated polystyrene and amine-terminated poly-2-vinyl pyridine polymer brushes (i.e., PS-NH2 and P2VP-NH2 ) with similar molecular weights display contrasting behavior on patterned Cu/SiO2 line features. Further, a thiol terminated poly-2-vinyl pyridine polymer brush (i.e., P2VP-SH) is investigated as a direct spin-on process to fabricate a metal oxide layer atop Cu areas only. The results presented here detail a novel methodology and open a new exciting process for ASD practices that can facilitate the precise deposition of dense metal, semiconductor, or dielectric films. We also discuss the applicability of polymer brushes to ASD uses going forward.


Assuntos
Eletroquímica/métodos , Polímeros/química , Cobre/química , Peso Molecular , Poliestirenos/química , Dióxido de Silício/química
3.
Soft Matter ; 12(24): 5429-37, 2016 Jun 28.
Artigo em Inglês | MEDLINE | ID: mdl-27240904

RESUMO

In this work, we are reporting a very simple and efficient method to form lamellar structures of symmetric polystyrene-block-poly(4-vinylpyridine) (PS-b-P4VP) copolymer thin films with vertically (to the surface plane) orientated lamellae using a solvent annealing approach. The methodology does not require any brush chemistry to engineer a neutral surface and it is the block neutral nature of the film-solvent vapour interface that defines the orientation of the lamellae. The microphase separated structure of two different molecular weight lamellar forming PS-block-P4VP copolymers formed under solvent vapour annealing was monitored using atomic force microscopy (AFM) so as to understand the morphological changes of the films upon different solvent exposure. In particular, the morphology changes from micellar structures to well-defined microphase separated arrangements. The choice of solvent/s (single and dual solvent exposure) and the solvent annealing conditions (temperature, time etc.) has important effects on structural transitions of the films and it was found that a block neutral solvent was required to realize vertically aligned P4VP lamellae. The results of the structural variation of the phase separated nanostructured films through the exposure to ethanol are also described.

4.
Nanoscale ; 8(4): 2177-87, 2016 Jan 28.
Artigo em Inglês | MEDLINE | ID: mdl-26731306

RESUMO

The use of a low-χ, symmetric block copolymer as an alternative to the high-χ systems currently being translated towards industrial silicon chip manufacture has been demonstrated. Here, the methodology for generating on-chip, etch resistant masks and subsequent pattern transfer to the substrate using ultra-small dimension, lamellar, microphase separated polystyrene-b-poly(ethylene oxide) (PS-b-PEO) block copolymer (BCP) is described. Well-controlled films of a perpendicularly oriented lamellar pattern with a domain size of ∼8 nm were achieved through amplification of an effective interaction parameter (χeff) of the BCP system. The self-assembled films were used as 'templates' for the generation of inorganic oxides nanowire arrays through selective metal ion inclusion and subsequent processing. Inclusion is a significant challenge because the lamellar systems have less chemical and mechanical robustness than the cylinder forming materials. The oxide nanowires of uniform diameter (∼8 nm) were isolated and their structure mimics the original BCP nanopatterns. We demonstrate that these lamellar phase iron oxide nanowire arrays could be used as a resist mask to fabricate densely packed, identical ordered, good fidelity silicon nanowire arrays on the substrate. Possible applications of the materials prepared are discussed, in particular, in the area of photonics and photoluminescence where the properties are found to be similar to those of surface-oxidized silicon nanocrystals and porous silicon.

5.
J Colloid Interface Sci ; 450: 141-150, 2015 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-25814102

RESUMO

Cylindrical phase polystyrene-b-polyethylene oxide (PS-b-PEO) block copolymer (BCP) was combined with lower molecular weight poly/ethylene glycols at different concentrations and their effect on the microphase separation of BCP thin films were studied. Well-ordered microphase separated, periodic nanostructures were realized using a solvent annealing approach for solution cast thin films. By optimizing solvent exposure time, the nature and concentration of the additives etc. the morphology and orientation of the films can be controlled. The addition of the glycols to PS-b-PEO enables a simple method by which the microdomain spacing of the phase separated BCP can be controlled at dimensions below 50 nm. Most interestingly, the additives results in an expected increase in domain spacing (i.e. pitch size) but in some conditions an unexpected reduction in domain spacing. The pitch size achieved by modification is in the range of 16-31 nm compared to an unmodified BCP system which exhibits a pitch size of 25 nm. The pitch size modification achieved can be explained in terms of chemical structure, solubility parameters, crystallinity and glass transition temperature of the PEO because the additives act as PEO 'stress cracking agents' whereas the PS matrix remains chemically unaffected.

6.
Adv Mater ; 26(8): 1207-16, 2014 Feb 26.
Artigo em Inglês | MEDLINE | ID: mdl-24277486

RESUMO

A simple technique is demonstrated to fabricate horizontal, uniform, and hexagonally arranged Sinanowire arrays with controlled orientation and density at spatially well defined locations on a substrate based on an in situ hard-mask pattern-formation approach by microphase-separated block-copolymer thin films. The technique may have significant application in the manufacture of transistor circuitry.

7.
Sci Rep ; 3: 2772, 2013 Sep 27.
Artigo em Inglês | MEDLINE | ID: mdl-24072037

RESUMO

Highly dense hexagonally arranged iron oxide nanodots array were fabricated using PS-b-PEO self-assembled patterns. The copolymer molecular weight, composition and choice of annealing solvent/s allows dimensional and structural control of the nanopatterns at large scale. A mechanism is proposed to create scaffolds through degradation and/or modification of cylindrical domains. A methodology based on selective metal ion inclusion and subsequent processing was used to create iron oxide nanodots array. The nanodots have uniform size and shape and their placement mimics the original self-assembled nanopatterns. For the first time these precisely defined and size selective systems of ordered nanodots allow careful investigation of magnetic properties in dimensions from 50 nm to 10 nm, which delineate the nanodots are superparamagnetic, well-isolated and size monodispersed. This diameter/spacing controlled iron oxide nanodots systems were demonstrated as a resistant mask over silicon to fabricate densely packed, identical ordered, high aspect ratio silicon nanopillars and nanowire features.

8.
Langmuir ; 29(9): 2809-20, 2013 Mar 05.
Artigo em Inglês | MEDLINE | ID: mdl-23363319

RESUMO

The use of block copolymer (BCP) thin films to generate nanostructured surfaces for device and other applications requires precise control of interfacial energies to achieve the desired domain orientation. Usually, the surface chemistry is engineered through the use of homo- or random copolymer brushes grown or attached to the surface. Herein, we demonstrate a facile, rapid, and tunable approach to surface functionalization using a molecular approach based on ethylene glycol attachment to the surface. The effectiveness of the molecular approach is demonstrated for the microphase separation of PS-b-PMMA and PS-b-PDMS BCPs in thin films and the development of nanoscale features at the substrate.

9.
ACS Appl Mater Interfaces ; 5(6): 2004-12, 2013 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-23421383

RESUMO

Block copolymer (BCP) microphase separation at surfaces might enable the generation of substrate features in a scalable, manufacturable, bottom-up fashion provided that pattern structure, orientation, alignment can be strictly controlled. A further requirement is that self-assembly takes place within periods of the order of minutes so that continuous manufacturingprocesses do not require lengthy pretreatments and sample storageleading to contamination and large facility costs. We report here microwave-assisted solvothermal (in toluene environments) self-assembly and directed self-assembly of a very low molecular weight cylinder-forming polystyrene-block-polydimethylsiloxane (PS-b-PDMS) BCP on planar and patterned silicon nitride (Si3N4) substrates. Good pattern ordering was achieved in the order of minutes. Factors affecting BCP self-assembly, notably anneal time and temperature were studied and seen to have significant effects. Graphoepitaxy to direct self-assembly in the BCP yielded promising results producing BCP patterns with long-range translational alignment commensurate with the pitch period of the topographic patterns. This rapid BCP ordering method is consistent with the standard thermal/solvent anneal processes.

10.
Nanotechnology ; 24(6): 065503, 2013 Feb 15.
Artigo em Inglês | MEDLINE | ID: mdl-23340158

RESUMO

This paper details the fabrication of ultrathin silicon nanowires (SiNWs) on a silicon-on-insulator (SOI) substrate as an electrode for the electro-oxidation and sensing of ethanol. The nanowire surfaces were prepared by a block copolymer (BCP) nanolithographic technique using low molecular weight symmetric poly(styrene)-block-poly(methyl methacrylate) (PS-b-PMMA) to create a nanopattern which was transferred to the substrate using plasma etching. The BCP orientation was controlled using a hydroxyl-terminated random polymer brush of poly(styrene)-random-poly(methyl methacrylate) (HO-PS-r-PMMA). TEM cross-sections of the resultant SiNWs indicate an anisotropic etch process with nanowires of sub-10 nm feature size. The SiNWs obtained by etching show high crystallinity and there is no evidence of defect inclusion or amorphous region production as a result of the pattern transfer process. The high density of SiNWs at the substrate surface allowed the fabrication of a sensor for cyclic voltammetric detection of ethanol. The sensor shows better sensitivity to ethanol and a faster response time compared to widely used polymer nanocomposite based sensors.

11.
ACS Appl Mater Interfaces ; 5(1): 88-97, 2013 Jan.
Artigo em Inglês | MEDLINE | ID: mdl-23227917

RESUMO

Block copolymer (BCP) microphase separation at substrate surfaces might enable the generation of substrate features in a scalable, bottom-up fashion, provided that the pattern structure, orientation, and alignment can be strictly controlled. The PS-b-PDMS (polystyrene-b-polydimethylsiloxane) system is attractive because it can form small features and the two blocks can be readily differentiated during pattern transfer. However, PS-b-PDMS offers a considerable challenge, because of the chemical differences in the blocks, which leads to poor surface wetting, poor pattern orientation control, and structural instabilities. These challenges are considerably greater when line patterns must be created, and this is the focus of the current work. Here, we report controlled pattern formation in cylinder-forming PS-b-PDMS by anchoring different types of hydroxyl-terminated homopolymer and random copolymer brushes on planar and topographically patterned silicon substrates for the fabrication of nanoscale templates. It is demonstrated that non-PDMS-OH-containing brushes may be used, which offers an advantage for substrate feature formation. To demonstrate the three-dimensional (3-D) film structure and show the potential of this system toward applications such as structure generation, the PDMS patterns were transferred to the underlying substrate to fabricate nanoscale features with a feature size of ~14 nm.

12.
J Colloid Interface Sci ; 393: 192-202, 2013 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-23218235

RESUMO

The use of random copolymer brushes (polystyrene-r-polymethylmethacrylate--PS-r-PMMA) to 'neutralise' substrate surfaces and ordain perpendicular orientation of the microphase separated lamellae in symmetric polystyrene-b-polymethylmethacrylate (PS-b-PMMA) block copolymers (BCPs) is well known. However, less well known is how the brushes interact with both the substrate and the BCP, and how this might change during thermal processing. A detailed study of changes in these films for different brush and diblock PS-b-PMMA molecular weights is reported here. In general, self-assembly and pattern formation is altered little, and a range of brush molecular weights are seen to be effective. However, on extended anneal times, the microphase separated films can undergo dimension changes and loss of order. This process is not related to any complex microphase separation dynamics but rather a degradation of methacrylate components in the film. The data suggest that care must be taken in interpretation of structural changes in these systems as being due to BCP only effects.


Assuntos
Metacrilatos/química , Poliestirenos/química , Metacrilatos/síntese química , Peso Molecular , Tamanho da Partícula , Poliestirenos/síntese química , Propriedades de Superfície
13.
Nanoscale ; 4(24): 7743-50, 2012 Dec 21.
Artigo em Inglês | MEDLINE | ID: mdl-23138854

RESUMO

A novel, simple and in situ hard mask technology that can be used to develop high aspect ratio silicon nanopillar and nanowire features on a substrate surface is demonstrated. The technique combines a block copolymer inclusion method that generates nanodot arrays on substrate and an inductively coupled plasma (ICP) etch processing step to fabricate Si nanopillar and nanowire arrays. Iron oxide was found to be an excellent resistant mask over silicon under the selected etching conditions. Features of a very high aspect ratio can be created by this method. The nanopillars have uniform diameter and smooth sidewalls throughout their entire length. The diameter (15-27 nm) and length of the nanopillars can be tuned easily. Different spectroscopic and microscopic techniques were used to examine the morphology and size, surface composition and crystallinity of the resultant patterns. The methodology developed may have important technological applications and provide an inexpensive manufacturing route to nanodimensioned topographical patterns. The high aspect ratio of the features may have importance in the area of photonics and the photoluminescence properties are found to be similar to those of surface-oxidized silicon nanocrystals and porous silicon.

14.
Nanoscale ; 4(10): 3228-36, 2012 May 21.
Artigo em Inglês | MEDLINE | ID: mdl-22481430

RESUMO

Extending the resolution and spatial proximity of lithographic patterning below critical dimensions of 20 nm remains a key challenge with very-large-scale integration, especially if the persistent scaling of silicon electronic devices is sustained. One approach, which relies upon the directed self-assembly of block copolymers by chemical-epitaxy, is capable of achieving high density 1 : 1 patterning with critical dimensions approaching 5 nm. Herein, we outline an integration-favourable strategy for fabricating high areal density arrays of aligned silicon nanowires by directed self-assembly of a PS-b-PMMA block copolymer nanopatterns with a L(0) (pitch) of 42 nm, on chemically pre-patterned surfaces. Parallel arrays (5 × 10(6) wires per cm) of uni-directional and isolated silicon nanowires on insulator substrates with critical dimension ranging from 15 to 19 nm were fabricated by using precision plasma etch processes; with each stage monitored by electron microscopy. This step-by-step approach provides detailed information on interfacial oxide formation at the device silicon layer, the polystyrene profile during plasma etching, final critical dimension uniformity and line edge roughness variation nanowire during processing. The resulting silicon-nanowire array devices exhibit Schottky-type behaviour and a clear field-effect. The measured values for resistivity and specific contact resistance were ((2.6 ± 1.2) × 10(5)Ωcm) and ((240 ± 80) Ωcm(2)) respectively. These values are typical for intrinsic (un-doped) silicon when contacted by high work function metal albeit counterintuitive as the resistivity of the starting wafer (∼10 Ωcm) is 4 orders of magnitude lower. In essence, the nanowires are so small and consist of so few atoms, that statistically, at the original doping level each nanowire contains less than a single dopant atom and consequently exhibits the electrical behaviour of the un-doped host material. Moreover this indicates that the processing successfully avoided unintentional doping. Therefore our approach permits tuning of the device steps to contact the nanowires functionality through careful selection of the initial bulk starting material and/or by means of post processing steps e.g. thermal annealing of metal contacts to produce high performance devices. We envision that such a controllable process, combined with the precision patterning of the aligned block copolymer nanopatterns, could prolong the scaling of nanoelectronics and potentially enable the fabrication of dense, parallel arrays of multi-gate field effect transistors.

15.
ACS Nano ; 5(2): 1073-85, 2011 Feb 22.
Artigo em Inglês | MEDLINE | ID: mdl-21226483

RESUMO

Through a combination of nanoimprint lithography and block copolymer self-assembly, a highly regular dewetting process of a symmetric diblock copolymer occurs whereby the hierarchal formation of microdroplets and concentric nanorings emerges. The process is driven by the unique chemical properties and geometrical layout of the underlying patterned silsesquioxane micrometer-sized templates. Given the presence of nonpreferential substrate-polymer interactions, directed dewetting was utilized to produce uniform arrays of microsized droplets of microphase separated polystyrene-block-poly(methyl methylacrylate) (PS-b-PMMA), following thermal annealing at 180 °C. Microdroplets with diameters greater than 400 nm exhibited a hexagonal close-packed arrangement of nanodots on the surface with polydomain ordering. At the droplet periphery, the polydomain ordering was severely disrupted because of a higher in-plane radius of curvature. By reducing the droplet size, the in-plane radius of curvature of the microdroplet becomes significant and the PMMA cylinders adopt parallel structures in this confined geometry. Continuous scaling of the droplet results in the generation of isolated, freestanding, self-aligned, and self-supported oblique nanorings (long axis ∼250-350 nm), which form as interstitial droplets between the larger microdroplets. Optical and magnetic-based nanostructures may benefit from such hierarchal organization and self-supporting/aligned nanoring templates by combining more than one lithography technique with different resolution capabilities.

16.
Langmuir ; 25(23): 13551-60, 2009 Dec 01.
Artigo em Inglês | MEDLINE | ID: mdl-19860380

RESUMO

Microphase separation of a polystyrene-block-polyisoprene-block-polystyrene triblock copolymer thin film under confined conditions (i.e., graphoepitaxy) results in ordered periodic arrays of polystyrene cylinders aligned parallel to the channel side-wall and base in a polyisoprene matrix. Polymer orientation and translational ordering with respect to the topographic substrate were elucidated by atomic force microscopy (AFM) while film thickness and polymer profile within the channel were monitored by cross-sectional transmission electron microscopy (TEM) as a function of time over a 6 h annealing period at 120 degrees C. Upon thermal annealing, the polymer film simultaneously undergoes three processes: microphase separation, evaporation of trapped solvent, and mass transport of polymer from the mesas into the channels. A significant volume of solvent is trapped within the polymer film upon spin coating arising from the increased polymer/substrate interfacial area due to the topographic pattern. Mass transport of polymer during this process results in nonuniform films, where subtle changes in the film thickness within the channel have profound effects on the microphase separation process. The initially disordered structure within the film underwent an orientation transition via an intermediate formation of perpendicular cylinders (nonequilibrium) to a parallel (equilibrium) orientation with respect to the channel base. Herein, we present a time-resolved study of the cylinder reorientation process detailing how changing film thickness during the annealing process dramatically affects both the local and lateral orientation of the observed structure. Finally, a brief mathematical model is provided to evaluate spin coating over a complex topography following a classical asymptotic approximation of the Navier-Stokes equations for the as-deposited films.

17.
Small ; 2(11): 1299-307, 2006 Nov.
Artigo em Inglês | MEDLINE | ID: mdl-17192977

RESUMO

Magnetically tunable, high-density arrays of coaxial nanocables within anodic aluminum oxide (AAO) membranes have been synthesized. The nanocables consist of magnetite nanowires surrounded by cobalt nanotube sheaths and cobalt nanowires surrounded by magnetite nanotube sheaths. These materials are a combination of separate hard (Co) and soft (Fe3O4) magnetic materials in a single nanocable structure. The combination of two or more magnetic materials in such a radial structure is seen as a very powerful tool for the future fabrication of magnetoresistive, spin-valve and ultrafast spin-injection devices with nonplanar geometries. The nanocable arrays were prepared using a supercritical-fluid inclusion process, whereby the nanotube was first deposited onto the pore walls of the nanoporous membranes and subsequently filled with core material to form coaxial nanocables. In essence, this paper describes a technique for placing novel magnetic technologies into well-defined building blocks that may ultimately lead to new multifunctional devices, such as spin valves and high-density magnetic storage devices.


Assuntos
Cobalto/química , Óxido Ferroso-Férrico/química , Nanopartículas/química , Nanotecnologia/métodos , Nanofios/química , Óxido de Alumínio/química , Magnetismo , Microscopia Eletrônica de Transmissão , Nanotecnologia/instrumentação , Nanotubos/química , Tamanho da Partícula , Propriedades de Superfície , Temperatura , Difração de Raios X
SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...