Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 2 de 2
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Sci Rep ; 12(1): 6321, 2022 Apr 15.
Artigo em Inglês | MEDLINE | ID: mdl-35428848

RESUMO

The Indium Tin Oxide (ITO) platform is one of the promising solutions for state-of-the-art integrated optical modulators towards low-loss silicon photonics applications. One of the key challenges on this way is to optimize ITO-based thin films stacks for electro-optic modulators with both high extinction ratio and low insertion loss. In this paper we demonstrate the e-beam evaporation technology of 20 nm-thick ITO films with low extinction coefficient of 0.14 (Nc = 3.7·1020 cm-3) at 1550 nm wavelength and wide range of carrier concentrations (from 1 to 10 × 1020 cm-3). We investigate ITO films with amorphous, heterogeneously crystalline, homogeneously crystalline with hidden coarse grains and pronounced coarsely crystalline structure to achieve the desired optical and electrical parameters. Here we report the mechanism of oxygen migration in ITO film crystallization based on observed morphological features under low-energy growth conditions. Finally, we experimentally compare the current-voltage and optical characteristics of three electro-optic active elements based on ITO film stacks and reach strong ITO dielectric permittivity variation induced by charge accumulation/depletion (Δn = 0.199, Δk = 0.240 at λ = 1550 nm under ± 16 V). Our simulations and experimental results demonstrate the unique potential to create integrated GHz-range electro-optical modulators with sub-dB losses.

2.
Micromachines (Basel) ; 12(5)2021 May 08.
Artigo em Inglês | MEDLINE | ID: mdl-34066851

RESUMO

Advanced microsystems widely used in integrated optoelectronic devices, energy harvesting components, and microfluidic lab-on-chips require high-aspect silicon microstructures with a precisely controlled profile. Such microstructures can be fabricated using the Bosch process, which is a key process for the mass production of micro-electro-mechanical systems (MEMS) devices. One can measure the etching profile at a cross-section to characterize the Bosch process quality by cleaving the substrate into two pieces. However, the cleaving process of several neighboring deeply etched microstructures is a very challenging and uncontrollable task. The cleaving method affects both the cleaving efficiency and the metrology quality of the resulting etched microstructures. The standard cleaving technique using a diamond scriber does not solve this issue. Herein, we suggest a highly controllable cross-section cleaving method, which minimizes the effect on the resulting deep etching profile. We experimentally compare two cleaving methods based on various auxiliary microstructures: (1) etched transverse auxiliary lines of various widths (from 5 to 100 µm) and positions; and (2) etched dashed auxiliary lines. The interplay between the auxiliary lines and the etching process is analyzed for dense periodic and isolated trenches sized from 2 to 50 µm with an aspect ratio of more than 10. We experimentally showed that an incorrect choice of auxiliary line parameters leads to silicon "build-up" defects at target microstructures intersections, which significantly affects the cross-section profile metrology. Finally, we suggest a highly controllable defect-free cross-section cleaving method utilizing dashed auxiliary lines with the stress concentrators.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...