Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 18 de 18
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Dalton Trans ; 53(13): 5911-5916, 2024 Mar 26.
Artigo em Inglês | MEDLINE | ID: mdl-38451474

RESUMO

In this study, we synthesised and characterised a new zinc(II) triazenide for potential use in vapour deposition of zinc sulphide thin films. The compound is volatile and quantitatively sublimes at 80 °C under vacuum (0.5 mbar). Thermogravimetric analysis showed a one-step volatilisation with an onset temperature at ∼125 °C and 5% residual mass. The compound also reacted with 2 or 4 molar equivalents of triphenylsilanethiol to give dimeric and monomeric zinc thiolates, respectively. The high volatility, thermal stability, and reactivity with sterically hindered thiols makes this compound a potential candidate for use in vapour deposition of zinc containing thin films.

2.
Small ; 19(36): e2301774, 2023 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-37127863

RESUMO

Area-selective atomic layer deposition (AS-ALD) is a bottom-up nanofabrication method delivering single atoms from a molecular precursor. AS-ALD enables self-aligned fabrication and outperforms lithography in terms of cost, resistance, and equipment prerequisites, but it requires pre-patterned substrates and is limited by insufficient selectivity and finite choice of substrates. These challenges are circumvented by direct patterning with atomic-layer additive manufacturing (ALAM) - a transfer of 3D-printing principles to atomic-layer manufacturing where a precursor supply nozzle enables direct patterning instead of blanket coating. The reduced precursor vapor consumption in ALAM as compared with ALD calls for the use of less volatile precursors by replacing diethylzinc used traditionally in ALD with bis(dimethylaminopropyl)zinc, Zn(DMP)2 . The behavior of this novel ZnO ALAM process follows that of the corresponding ALD in terms of deposit quality and growth characteristics. The temperature window for self-limiting growth of stoichiometric, crystalline material is 200-250 °C. The growth rates are 0.9 Å per cycle in ALD (determined by spectroscopic ellipsometry) and 1.1 Å per pass in ALAM (imaging ellipsometry). The preferential crystal orientation increases with temperature, while energy-dispersive X-ray spectroscopic and XPS show that only intermediate temperatures deliver stoichiometric ZnO. A functional thin-film transistor is created from an ALAM-deposited ZnO line and characterized.

3.
Small ; 19(9): e2205602, 2023 Mar.
Artigo em Inglês | MEDLINE | ID: mdl-36521931

RESUMO

2D membranes such as artificially perforated graphene are deemed to bring great advantages for molecular separation. However, there is a lack of structure-property correlations in graphene membranes as neither the atomic configurations nor the number of introduced sub-nanometer defects are known precisely. Recently, bilayer silica has emerged as an inherent 2D membrane with an unprecedentedly high areal density of well-defined pores. Mass transfer experiments with free-standing SiO2 bilayers demonstrated a strong preference for condensable fluids over inert species, and the measured membrane selectivity revealed a key role of intermolecular forces in ångstrom-scale openings. In this study, vapor permeation measurements are combined with quantitative adsorption experiments and density functional theory (DFT) calculations to get insights into the mechanism of surface-mediated transport in vitreous 2D silicon dioxide. The membranes are shown to exhibit molecular sieving performance when exposed to vaporous methanol, ethanol, isopropanol, and tert-butanol. The results are normalized to the coverage of physisorbed molecules and agree well with the calculated energy barriers.

4.
ACS Appl Mater Interfaces ; 14(46): 52149-52162, 2022 Nov 23.
Artigo em Inglês | MEDLINE | ID: mdl-36351209

RESUMO

Thin films of iridium can be utilized in a wide range of applications and are particularly interesting for catalytic transformations. For the scalable deposition of functional Ir thin films, metalorganic chemical vapor deposition (MOCVD) is the method of choice, for which organometallic precursors that embody a high volatility and thermal stability need to be specifically tailored. Herein, we report the synthesis, analysis, and evaluation of new volatile Ir(I)-1,5-cyclooctadiene complexes bearing all-nitrogen coordinating guanidinate (N,N'-diisopropyl-2-dimethylamido-guanidinate (DPDMG)), amidinate (N,N'-diisopropyl-amidinate (DPAMD)), and formamidinate (N,N'-diisopropyl-formamidinate (DPfAMD)) ligands. The amidinate-based Ir complex [Ir(COD)(DPAMD)] together with O2 was implemented in MOCVD experiments resulting in highly crystalline, dense, and conductive Ir films on a variety of substrate materials. The Ir deposits achieved outstanding electrochemical performance with overpotentials in the range of 50 mV at -10 mA·cm-2 for catalytic hydrogen evolution reaction (HER) in acidic solution. The ability to deposit Ir layers via MOCVD exhibiting promising functional properties is a significant step toward large-scale applications.

5.
Dalton Trans ; 51(39): 14970-14979, 2022 Oct 11.
Artigo em Inglês | MEDLINE | ID: mdl-36111964

RESUMO

Tin monoxide (SnO) is a promising oxide semiconductor which is appealing for a wide range of applications from channel materials in p-type field effect transistors (FET) to electrode materials searched for next-generation batteries. For the controlled growth of SnO films at low temperatures, atomic layer deposition (ALD) is employed in this study, where the choice of the precursor plays a significant role. A comparative thermal evaluation of four different amidinate-based tin(II) precursors and the influence of the ligand sphere on their physicochemical properties revealed that bis(N,N'-diisopropylformamidinato tin(II) (1) possesses the required volatility, good thermal stability and sufficient reactivity towards water, to be implemented as the ALD precursor. The water-assisted ALD process resulted in crystalline SnO films on Si substrates with a growth per cycle (GPC) of 0.82 Å at temperatures as low as 140 °C. By employing complementary analytical tools, namely, X-ray diffraction (XRD), atomic force microscopy (AFM), X-ray reflectivity (XRR), Rutherford backscattering spectrometry/nuclear reaction analysis (RBS/NRA) and X-ray photoelectron spectroscopy (XPS), the formation of tin monoxide was confirmed. Finally, the optical properties of the as-deposited films were analyzed via UV-Vis spectroscopy, exhibiting a band gap of 2.74 eV, which further confirms the formation of the targeted SnO phase.

6.
ACS Appl Mater Interfaces ; 14(10): 12845-12854, 2022 Mar 16.
Artigo em Inglês | MEDLINE | ID: mdl-35232015

RESUMO

The preparation and manipulation of crystalline yet bendable functional complex oxide membranes has been a long-standing issue for a myriad of applications, in particular, for flexible electronics. Here, we investigate the viability to prepare magnetic and crystalline CoFe2O4 (CFO) membranes by means of the Sr3Al2O6 (SAO) sacrificial layer approach using chemical deposition techniques. Meticulous chemical and structural study of the SAO surface and SAO/CFO interface properties have allowed us to identify the formation of an amorphous SAO capping layer and carbonates upon air exposure, which dictate the crystalline quality of the subsequent CFO film growth. Vacuum annealing at 800 °C of SAO films promotes the elimination of the surface carbonates and the reconstruction of the SAO surface crystallinity. Ex-situ atomic layer deposition of CFO films at 250 °C on air-exposed SAO offers the opportunity to avoid high-temperature growth while achieving polycrystalline CFO films that can be successfully transferred to a polymer support preserving the magnetic properties under bending. Float on and transfer provides an alternative route to prepare freestanding and wrinkle-free CFO membrane films. The advances and challenges presented in this work are expected to help increase the capabilities to grow different oxide compositions and heterostructures of freestanding films and their range of functional properties.

7.
Dalton Trans ; 50(37): 12944-12956, 2021 Sep 28.
Artigo em Inglês | MEDLINE | ID: mdl-34581336

RESUMO

The synthesis and characterization of a series of closely related Y(III) compounds comprising the formamidinate ligands (RNCHNR) (R = alkyl) is reported, with the scope of using them as prospective precursors for atomic layer deposition (ALD) of yttrium oxide (Y2O3) thin films. The influence of the side chain variation on the thermal properties of the resulting complexes is studied and benchmarked by thermal analysis and vapor pressure measurements. Density functional theory (DFT) studies give theoretical insights into the reactivity of the compounds towards water, which was targeted as a co-reactant for the deposition of Y2O3via thermal ALD in the next step. Among the four complexes analyzed, tris(N,N'-di-tert-butyl-formamidinato)yttrium(III) [Y(tBu2-famd)3] 1 was found to possess enhanced thermal stability and was selected for Y2O3 ALD process development. A broad ALD window ranging from 200 °C to 325 °C was obtained, yielding films of high compositional quality. Furthermore, with a film density of (4.95 ± 0.05) g cm-1 close to the bulk value, polycrystalline fcc Y2O3 layers with a smooth topography resulted in promising dielectric properties when implemented in metal insulator semiconductor (MIS) capacitor structures.

8.
Inorg Chem ; 60(17): 12759-12765, 2021 Sep 06.
Artigo em Inglês | MEDLINE | ID: mdl-34362251

RESUMO

Only a few M-N bonded divalent group 14 precursors are available for vapor deposition, in particular for Ge and Pb. A majority of the reported precursors are dicoordinated with the Sn(II) amidinates, the only tetracoordinated examples. No Ge(II) and Pb(II) amidinates suitable for vapor deposition have been demonstrated. Herein, we present tetracoordinated Ge(II), Sn(II), and Pb(II) complexes bearing two sets of chelating 1,3-di-tert-butyltriazenide ligands. These compounds are thermally stable, sublime quantitatively between 60 and 75 °C (at 0.5 mbar), and show ideal single-step volatilization by thermogravimetric analysis.

9.
Inorg Chem ; 60(7): 4578-4587, 2021 Apr 05.
Artigo em Inglês | MEDLINE | ID: mdl-33710869

RESUMO

Amidinate and guanidinate ligands have been used extensively to produce volatile and thermally stable precursors for atomic layer deposition. The triazenide ligand is relatively unexplored as an alternative ligand system. Herein, we present six new Al(III) complexes bearing three sets of a 1,3-dialkyltriazenide ligand. These complexes volatilize quantitatively in a single step with onset volatilization temperatures of ∼150 °C and 1 Torr vapor pressures of ∼134 °C. Differential scanning calorimetry revealed that these Al(III) complexes exhibited exothermic events that overlapped with the temperatures of their mass loss events in thermogravimetric analysis. Using quantum chemical density functional theory computations, we found a decomposition pathway that transforms the relatively large hexacoordinated Al(III) precursor into a smaller dicoordinated complex. The pathway relies on previously unexplored interligand proton migrations. These new Al(III) triazenides provide a series of alternative precursors with unique thermal properties that could be highly advantageous for vapor deposition processes of Al containing materials.

10.
Chemistry ; 27(15): 4913-4926, 2021 Mar 12.
Artigo em Inglês | MEDLINE | ID: mdl-33470473

RESUMO

Owing to the limited availability of suitable precursors for vapor phase deposition of rare-earth containing thin-film materials, new or improved precursors are sought after. In this study, we explored new precursors for atomic layer deposition (ALD) of cerium (Ce) and ytterbium (Yb) containing thin films. A series of homoleptic tris-guanidinate and tris-amidinate complexes of cerium (Ce) and ytterbium (Yb) were synthesized and thoroughly characterized. The C-substituents on the N-C-N backbone (Me, NMe2 , NEt2 , where Me=methyl, Et=ethyl) and the N-substituents from symmetrical iso-propyl (iPr) to asymmetrical tertiary-butyl (tBu) and Et were systematically varied to study the influence of the substituents on the physicochemical properties of the resulting compounds. Single crystal structures of [Ce(dpdmg)3 ] 1 and [Yb(dpdmg)3 ] 6 (dpdmg=N,N'-diisopropyl-2-dimethylamido-guanidinate) highlight a monomeric nature in the solid-state with a distorted trigonal prismatic geometry. The thermogravimetric analysis shows that the complexes are volatile and emphasize that increasing asymmetry in the complexes lowers their melting points while reducing their thermal stability. Density functional theory (DFT) was used to study the reactivity of amidinates and guanidinates of Ce and Yb complexes towards oxygen (O2 ) and water (H2 O). Signified by the DFT calculations, the guanidinates show an increased reactivity toward water compared to the amidinate complexes. Furthermore, the Ce complexes are more reactive compared to the Yb complexes, indicating even a reactivity towards oxygen potentially exploitable for ALD purposes. As a representative precursor, the highly reactive [Ce(dpdmg)3 ] 1 was used for proof-of-principle ALD depositions of CeO2 thin films using water as co-reactant. The self-limited ALD growth process could be confirmed at 160 °C with polycrystalline cubic CeO2 films formed on Si(100) substrates. This study confirms that moving towards nitrogen-coordinated rare-earth complexes bearing the guanidinate and amidinate ligands can indeed be very appealing in terms of new precursors for ALD of rare earth based materials.

11.
RSC Adv ; 11(5): 2565-2574, 2021 Jan 11.
Artigo em Inglês | MEDLINE | ID: mdl-35424225

RESUMO

We report the application of tris(N,N'-diisopropyl-formamidinato)yttrium(iii) [Y(DPfAMD)3] as a promising precursor in a water-assisted thermal atomic layer deposition (ALD) process for the fabrication of high quality Y2O3 thin films in a wide temperature range of 150 °C to 325 °C. This precursor exhibits distinct advantages such as improved chemical and thermal stability over the existing Y2O3 ALD precursors including the homoleptic and closely related yttrium tris-amidinate [Y(DPAMD)3] and tris-guanidinate [Y(DPDMG)3], leading to excellent thin film characteristics. Smooth, homogeneous, and polycrystalline (fcc) Y2O3 thin films were deposited at 300 °C with a growth rate of 1.36 Å per cycle. At this temperature, contamination levels of C and N were under the detectable limits of nuclear reaction analysis (NRA), while X-ray photoelectron spectroscopy (XPS) measurements confirmed the high purity and stoichiometry of the thin films. From the electrical characterization of metal-insulator-semiconductor (MIS) devices, a permittivity of 13.9 at 1 MHz could be obtained, while the electric breakdown field is in the range of 4.2 and 6.1 MV cm-1. Furthermore, an interface trap density of 1.25 × 1011 cm-2 and low leakage current density around 10-7 A cm-2 at 2 MV cm-1 are determined, which satisfies the requirements of gate oxides for complementary metal-oxide-semiconductor (CMOS) based applications.

12.
Dalton Trans ; 49(38): 13462-13474, 2020 Oct 06.
Artigo em Inglês | MEDLINE | ID: mdl-32966456

RESUMO

Molybdenum disulfide (MoS2) is known for its versatile properties and hence is promising for a wide range of applications. The fabrication of high quality MoS2 either as homogeneous films or as two-dimensional layers on large areas is thus the objective of intense research. Since industry requirements on MoS2 thin films can hardly be matched by established exfoliation fabrication methods, there is an enhanced need for developing new chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes where a rational precursor selection is a crucial step. In this study, a new molybdenum precursor, namely 1,4-di-tert-butyl-1,4-diazabutadienyl-bis(tert-butylimido)molybdenum(vi) [Mo(NtBu)2(tBu2DAD)], is identified as a potential candidate. The combination of imido and chelating 1,4-diazadieneyl ligand moieties around the molybdenum metal center results in a monomeric compound possessing adequate thermal characteristics relevant for vapor phase deposition applications. Hexagonal MoS2 layers are fabricated in a metalorganic CVD (MOCVD) process with elemental sulfur as the co-reactant at temperatures between 600 °C and 800 °C. The structure and composition of the films are investigated by X-ray diffraction, high resolution transmission electron microscopy, synchrotron X-ray photoelectron spectroscopy and Raman spectroscopy revealing crystalline and stoichiometric MoS2 films. The new MOCVD process developed for MoS2 is highly promising due to its moderate process conditions, scalability and controlled targeted composition.

13.
Dalton Trans ; 49(31): 10755-10764, 2020 Aug 11.
Artigo em Inglês | MEDLINE | ID: mdl-32530011

RESUMO

The fabrication of SnOx in thin film form via chemical solution deposition (CSD) processes is favored over vacuum based techniques as it is cost effective and simpler. The precursor employed plays a central role in defining the process conditions for CSD. Particularly for processing SnO2 layers that are appealing for sensor or electronic applications, there are limited precursors available for CSD. Thus the focus of this work was to develop metalorganic precursors for tin, based on the ketoiminate ligand class. By systematic molecular engineering of the ligand periphery, a series of new homoleptic Sn(ii) ß-ketoiminate complexes was synthesized, namely bis[4-(2-methoxyethylimino)-3-pentanonato] tin, [Sn(MEKI)2] (1), bis[4-(2-ethoxyethylimino)-2-pentanonato] tin, [Sn(EEKI)2] (2), bis[4-(3-methoxypropylimino)-2-pentanonato] tin, [Sn(MPKI)2] (3), bis[4-(3-ethoxypropylimino)-2-pentanonato] tin, [Sn(EPKI)2] (4) and bis[4-(3-isopropoxypropylimino)-2-pentanonato] tin, [Sn(iPPKI)2] (5). All these N-side-chain ether functionalized compounds were analyzed by nuclear magnetic resonance (NMR) spectroscopy, electron impact mass spectrometry (EI-MS), elemental analysis (EA) and thermogravimetric analysis (TGA). The solid state molecular structure of [Sn(MPKI)2] (3) was eludicated by means of single crystal X-ray diffraction (SCXRD). Interestingly, this class of compounds features excellent solubility and stability in common organic solvents alongside good reactivity towards H2O and low decomposition temperatures, thus fulfilling the desired requirements for CSD of tin oxides. With compound 3 as a representative example, we have demonstrated the possibility to directly deposit SnOx layers via hydrolysis upon exposure to air followed by heat treatment under oxygen at moderate temperatures and most importantly without the need for any additive that is generally used in CSD. A range of complementary analytical methods were employed, namely X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) to analyse the structure, morphology and composition of the SnOx layers.

14.
Angew Chem Int Ed Engl ; 59(33): 14138-14142, 2020 Aug 10.
Artigo em Inglês | MEDLINE | ID: mdl-32369235

RESUMO

Attempted preparation of a chelated CoII ß-silylamide resulted in the unprecedented disproportionation to Co0 and a spirocyclic cobalt(IV) bis(ß-silyldiamide): [Co[(Nt Bu)2 SiMe2 ]2 ] (1). Compound 1 exhibited a room-temperature magnetic moment of 1.8 B.M. and a solid-state axial EPR spectrum diagnostic of a rare S= 1 / 2 configuration for tetrahedral CoIV . Ab initio semicanonical coupled-cluster calculations (DLPNO-CCSD(T)) revealed the doublet state was clearly preferred (-27 kcal mol-1 ) over higher spin configurations only for the bulky tert-butyl-substituted analogue. Unlike other CoIV complexes, 1 had remarkable thermal stability, and was demonstrated to form a stable self-limiting monolayer in preliminary atomic layer deposition (ALD) surface saturation experiments. The ease of synthesis and high stability make 1 an attractive starting point to investigate otherwise inaccessible CoIV intermediates and for synthesizing new materials.

15.
ACS Appl Mater Interfaces ; 11(31): 28407-28422, 2019 Aug 07.
Artigo em Inglês | MEDLINE | ID: mdl-31339290

RESUMO

A bottom-up approach starting with the development of new Hf precursors for plasma-enhanced atomic layer deposition (PEALD) processes for HfO2 followed by in situ thin-film surface characterization of HfO2 upon exposure to reactive gases via near-ambient-pressure X-ray photoelectron spectroscopy (NAP-XPS) is reported. The stability of thin films under simulated operational conditions is assessed, and the successful implementation of HfO2 dielectric layers in metal-insulator-semiconductor (MIS) capacitors is demonstrated. Among the series of newly synthesized mono-guanidinato-tris-dialkyl-amido class of Hf precursors, one of them, namely, [Hf{η2-(iPrN)2CNEtMe}(NEtMe)3], was representatively utilized with oxygen plasma, resulting in a highly promising low-temperature PEALD process at 60 °C. The new precursors were synthesized in the multigram scale and thoroughly characterized by thermogravimetric analyses, revealing high and tunable volatility reflected by appreciable vapor pressures and accompanied by thermal stability. Typical ALD growth characteristics in terms of linearity, saturation, and a broad ALD window with constant growth of 1.06 Å cycle-1 in the temperature range of 60-240 °C render this process very promising for fabricating high-purity smooth HfO2 layers. For the first time, NAP-XPS surface studies on selected HfO2 layers are reported upon exposure to reactive H2, O2, and H2O atmospheres at temperatures of up to 500 °C revealing remarkable stability against degradation. This can be attributed to the absence of surface defects and vacancies. On the basis of these promising results, PEALD-grown HfO2 films were used as dielectric layers in the MIS capacitor device fabrication exhibiting leakage current densities less than 10-7 A cm-2 at 2 MV cm-1 and permittivities of up to 13.9 without postannealing.

16.
Chemistry ; 25(31): 7489-7500, 2019 Jun 04.
Artigo em Inglês | MEDLINE | ID: mdl-30870572

RESUMO

New precursor chemistries for the atomic layer deposition (ALD) of aluminium oxide are reported as potential alternatives to the pyrophoric trimethylaluminium (TMA) which is to date a widely used Al precursor. Combining the high reactivity of aluminium alkyls employing the 3-(dimethylamino)propyl (DMP) ligand with thermally stable amide ligands yielded three new heteroleptic, non-pyrophoric compounds [Al(NMe2 )2 (DMP)] (2), [Al(NEt2 )2 (DMP)] (3, BDEADA) and [Al(NiPr2 )2 (DMP)] (4), which combine the properties of both ligand systems. The compounds were synthesized and thoroughly chemically characterized, showing the intramolecular stabilization of the DMP ligand as well as only reactive Al-C and Al-N bonds, which are the key factors for the thermal stability accompanied by a sufficient reactivity, both being crucial for ALD precursors. Upon rational variation of the amide alkyl chains, tunable and high evaporation rates accompanied by thermal stability were found, as revealed by thermal evaluation. In addition, a new and promising plasma enhanced (PE)ALD process using BDEADA and oxygen plasma in a wide temperature range from 60 to 220 °C is reported and compared to that of a modified variation of the TMA, namely [AlMe2 (DMP)] (DMAD). The resulting Al2 O3 layers are of high density, smooth, uniform, and of high purity. The applicability of the Al2 O3 films as effective gas barrier layers (GBLs) was successfully demonstrated, considering that coating on polyethylene terephthalate (PET) substrates yielded very good oxygen transmission rates (OTR) with an improvement factor of 86 for a 15 nm film by using DMAD and a factor of 25 for a film thickness of just 5 nm by using BDEDA compared to bare PET substrates. All these film attributes are of the same quality as those obtained for the industrial precursor TMA, rendering the new precursors safe and potential alternatives to TMA.

17.
ACS Appl Mater Interfaces ; 11(3): 3169-3180, 2019 Jan 23.
Artigo em Inglês | MEDLINE | ID: mdl-30624887

RESUMO

A bottom-up process from precursor development for tin to plasma-enhanced atomic layer deposition (PEALD) for tin(IV) oxide and its successful implementation in a working thin-film transistor device is reported. PEALD of tin(IV) oxide thin films at low temperatures down to 60 °C employing tetrakis-(dimethylamino)propyl tin(IV) [Sn(DMP)4] and oxygen plasma is demonstrated. The liquid precursor has been synthesized and thoroughly characterized with thermogravimetric analyses, revealing sufficient volatility and long-term thermal stability. [Sn(DMP)4] demonstrates typical saturation behavior and constant growth rates of 0.27 or 0.42 Å cycle-1 at 150 and 60 °C, respectively, in PEALD experiments. Within the ALD regime, the films are smooth, uniform, and of high purity. On the basis of these promising features, the PEALD process was optimized wherein a 6 nm thick tin oxide channel material layer deposited at 60 °C was applied in bottom-contact bottom-gate thin-film transistors, showing a remarkable on/off ratio of 107 and field-effect mobility of µFE ≈ 12 cm2 V-1 s-1 for the as-deposited thin films deposited at such low temperatures.

18.
Inorg Chem ; 57(14): 8218-8226, 2018 Jul 16.
Artigo em Inglês | MEDLINE | ID: mdl-29943579

RESUMO

Lead analogues of N-heterocyclic carbenes (NHPbs) are the least understood members of this increasingly important class of compounds. Here we report the design, preparation, isolation, structure, volatility, and decomposition pathways of a novel aliphatic NHPb: rac- N 2, N 3-di- tert-butylbutane-2,3-diamido lead(II) (1Pb). The large steric bulk of the tert-butylamido moieties and rac-butane backbone successfully hinder redox decomposition pathways observed for diamidoethylene and -ethane backbone analogues, pushing the onset of thermal decomposition from below 0 °C to above 150 °C. With an exceptionally high vapor pressure of 1 Torr at 94 ± 2 °C and excellent thermal stability among Pb(II) complexes, 1Pb is a promising precursor for the chemical vapor deposition (CVD) and atomic layer deposition (ALD) of functional lead-containing materials.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...