Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 5 de 5
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Langmuir ; 30(13): 3832-44, 2014 Apr 08.
Artigo em Inglês | MEDLINE | ID: mdl-24621316

RESUMO

Self-assembled monolayers (SAMs) from an 11-cyanoundecyltrichlorosilane (CN-SAM) precursor were deposited on porous SiCOH low-k dielectrics with three different pore radii, namely, 1.7, 0.7, and lower than 0.5 nm. The low-k dielectrics were first pretreated with either O2 or He/H2 plasma in order to generate silanol groups on the hydrophobic pristine surface. Subsequently, the SAMs were chemically grafted to the silanol groups on the low-k surface. The SAMs distribution in the low-k films depends on the pore diameter: if the pore diameter is smaller than the size of the SAMs precursors, the SAM molecules are confined to the surface, while if the pore diameter exceeds the van der Waals radius of the SAMs precursor, the SAMs molecules reach deeper in the dielectric. In the latter case, when the pore sidewalls are made hydrophilic by the plasma treatment, the chemical grafting of the SAM precursors follows the profile of the generated silanol groups. The modification depth induced by the O2 plasma is governed by the diffusion of the oxygen radicals into the pores, which makes it the preferred choice for microporous materials. On the other hand, the vacuum ultraviolet (VUV) light plays a critical role, which makes it more suitable for hydrolyzing mesoporous materials. In addition to the density of the surface -OH groups, the nanoscale concave curvature associated with the pores also affects the molecular packing density and ordering with respect to the self-assembly behavior on flat surfaces. A simple model which correlates the low-k pore structure with the plasma hydrophilization mechanism and the SAMs distribution in the pores is presented.

2.
ACS Appl Mater Interfaces ; 13(27): 32381-32392, 2021 Jul 14.
Artigo em Inglês | MEDLINE | ID: mdl-34160190

RESUMO

As critical dimensions in integrated circuits continue to shrink, the lithography-based alignment of adjacent patterned layers becomes more challenging. Area-selective atomic layer deposition (ALD) allows circumventing the alignment issue by exploiting the chemical contrast of the exposed surfaces. In this work, we investigate the selective deposition of TiO2 by plasma halogenation of amorphous carbon (a-C:H) acting as a growth-inhibiting layer. On a-C:H, a CF4 or Cl2 plasma forms a thin halogenated layer that suppresses the growth of TiO2, while nucleation remains unaffected on plasma-treated SiO2. The same halogenating plasmas preferentially etch TiO2 nuclei over films and thus enable the restoration of the halogenated surface of amorphous carbon. By embedding the intermediate plasma treatments in the ALD TiO2 sequence, an 8 nm TiO2 layer could be deposited with a selectivity of 0.998. The application of the cyclic process on a 60 nm half-pitch line pattern resulted in the defect-free deposition of TiO2 at the bottom of the trenches. Cyclic fluorination demonstrated better growth inhibition compared to chlorination due to more efficient defect removal and retention of the favorable surface composition during plasma exposure. While exploring the TiO2 nucleation defects at the limit of detection for conventional elemental analysis techniques (<1 × 1014 at/cm2), we additionally highlight the value of imaging techniques such as atomic force microscopy for understanding defect formation mechanisms and accurately assessing growth selectivity.

3.
Adv Mater ; 33(17): e2006993, 2021 Apr.
Artigo em Inglês | MEDLINE | ID: mdl-33733524

RESUMO

Thin films of crystalline and porous metal-organic frameworks (MOFs) have great potential in membranes, sensors, and microelectronic chips. While the morphology and crystallinity of MOF films can be evaluated using widely available techniques, characterizing their pore size, pore volume, and specific surface area is challenging due to the low amount of material and substrate effects. Positron annihilation lifetime spectroscopy (PALS) is introduced as a powerful method to obtain pore size information and depth profiling in MOF films. The complementarity of this approach to established physisorption-based methods such as quartz crystal microbalance (QCM) gravimetry, ellipsometric porosimetry (EP), and Kr physisorption (KrP) is illustrated. This comprehensive discussion on MOF thin film porosimetry is supported by experimental data for thin films of ZIF-8.

4.
Nat Commun ; 10(1): 3729, 2019 Aug 19.
Artigo em Inglês | MEDLINE | ID: mdl-31427584

RESUMO

The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young's modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors.

5.
ACS Appl Mater Interfaces ; 9(36): 31031-31041, 2017 Sep 13.
Artigo em Inglês | MEDLINE | ID: mdl-28820569

RESUMO

Integrating bottom-up area-selective building-blocks in microelectronics has a disruptive potential because of the unique capability of engineering new structures and architectures. Atomic layer deposition (ALD) is an enabling technology, yet understanding the surfaces and their modification is crucial to leverage area-selective ALD (AS-ALD) in this field. The understanding of general selectivity mechanisms and the compatibility of plasma surface modifications with existing materials and processes, both at research and production scale, will greatly facilitate AS-ALD integration in microelectronics. The use of self-assembled monolayers to inhibit the nucleation and growth of ALD films is still scarcely compatible with nanofabrication because of defectivity and downscaling limitations. Alternatively, in this Research Article, we demonstrate a straightforward H2 plasma surface modification process capable of inhibiting Ru ALD nucleation on an amorphous carbon surface while still allowing instantaneous nucleation and linear growth on Si-containing materials. Furthermore, we demonstrate how AS-ALD enables previously inaccessible routes, such as bottom-up electroless metal deposition in a dual damascene etch-damage free low-k replacement scheme. Specifically, our approach offers a general strategy for scalable ultrafine 3D nanostructures without the burden of subtractive metal patterning and high cost chemical mechanical planarization processes.

SELEÇÃO DE REFERÊNCIAS
Detalhe da pesquisa