Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 11 de 11
Filtrar
Mais filtros

Base de dados
Tipo de documento
Intervalo de ano de publicação
1.
Nature ; 616(7958): 667-668, 2023 04.
Artigo em Inglês | MEDLINE | ID: mdl-37085613
2.
J Nanosci Nanotechnol ; 13(8): 5800-6, 2013 Aug.
Artigo em Inglês | MEDLINE | ID: mdl-23882838

RESUMO

The electrical and material properties of Copper (Cu) mixed with [0-10 atomic% manganese (Mn)] and pure Cu films deposited on silicon oxide (SiO2)/silicon (Si) are explored. Cu electroplating on self formed CuMn barrier was investigated with different Mn content. The electrochemical deposition of the Cu thin film onto the electrode using CuMn barrier was investigated. Scanning electron microscopic (SEM) micrographs of copper electroplating on CuMn films were examined, and the copper nucleation behaviors changed with the Mn content. Since the electrochemical impedance spectroscopy (EIS) is widely recognized as a powerful tool for the investigation of electrochemical behaviors, the tool was also used to verify the phenomena during plating. It was found that the charge-trasfer impedance decrease with the rise in the Mn content below 5%, but increase with the rise in the Mn content higher than 5%. The result was corresponded to the surface energy, the surface morphology, the corrosion and the oxidation of the substrate.

3.
J Nanosci Nanotechnol ; 12(9): 7226-32, 2012 Sep.
Artigo em Inglês | MEDLINE | ID: mdl-23035457

RESUMO

In this study, copper indium diselenide (CIS) films were synthesized from electrodeposited Cu-Se-In-Se precursors by three step annealing. The Se layer between Cu and In layer was grown to prevent the formation of Cu/In compound. The Cu-Se precursors were first annealed to grow uniform and conductive Cu2Se surface. After deposition of the four layers precursors, two steps annealing was employed to form Cu2Se-In2Se3 precursors. Transforming Cu2Se-In2Se3 to CIS required less thermal energy. Therefore, high quality CIS film can be synthesized by two steps annealing due to its high crystallinity. The properties of the CIS films were characterized by scanning electron microscopy (SEM), X-ray Diffraction (XRD), and Raman Spectra.

4.
J Nanosci Nanotechnol ; 10(7): 4196-203, 2010 Jul.
Artigo em Inglês | MEDLINE | ID: mdl-21128400

RESUMO

Tantalum/tantalum nitride (Ta/TaNx) composite film is widely used as a copper (Cu) diffusion barrier layer. In order to reduce via-resistance, an additional argon (Ar) re-sputtering process is used to thin the barrier thickness at the feature bottom. In this study, the effect of Ar re-sputtering of the under-layer of TaNx barrier films on the corrosion between Cu seeds and upper Ta films in chemical-mechanical-polishing (CMP) slurries was investigated. The results show that Ar re-sputtering of the under-layer of the TaNx barrier has a strong influence on the corrosion of Cu seeds and Ta films. The equivalent circuit, simulated using data from electrochemical analysis, reveals changes in resistance and capacitance elements of the Cu-Ta electrochemical system, proving that the phase transformation of upper Ta films under different TaNx conditions leads to different degrees corrosion of Cu seeds and the Ta films.

5.
J Nanosci Nanotechnol ; 10(11): 7065-9, 2010 Nov.
Artigo em Inglês | MEDLINE | ID: mdl-21137866

RESUMO

In this study, the formation of Cu oxide on Cu film is studied during Cu electropolishing in a phosphoric acid-based electrolyte with various Cu ion concentrations, from 2.28% to 10.08%. In cyclic voltammetry measurement, the maximum current density of the anodic peak (Imax) decreases from 38.87 to 28.13 mA/cm2 with increasing Cu ion concentration, indicating that an oxide film forms on the Cu film surface and the thickness increases with Cu ion concentration. Microstructures and crystallography of the oxide film are examined by transmission electron microscopy, which confirms the increase of the oxide film thickness due to the high Cu ion concentration in a H3PO4 electrolyte. Three types of Cu oxide are detected using X-ray photoelectron spectroscopy, namely Cu2O, Cu(OH)2, and CuO. With a Cu-ion electrolyte concentration of less than 6.99%, Cu(OH)2 is dominant, while at higher Cu-ion concentrations, CuO predominates. The formation of CuO protects Cu from corrosion in the electrolyte with the Cu-ion concentration of over 6.99%.

6.
J Nanosci Nanotechnol ; 9(2): 759-63, 2009 Feb.
Artigo em Inglês | MEDLINE | ID: mdl-19441387

RESUMO

One of the major challenges in the integrated circuit beyond 90 nm is to fabricate low resistivity Cu diffusion barrier layer in the metal multilevel interconnect. Ta/TaN bilayer is one of the best candidates for Cu metal diffusion layer. It provides the advantage good diffusion performance between Cu and low-k dielectric layer. However, the resistivity is large deviation from tantalum phase variation. This is because of Tantalum of bilayer easily found in beta-phase, which is high resistance. This paper proposed a low resistivity alpha-Ta thin films were grown by treatment-TaN using Argon plasma treatment on TaN substrate. The argon treatment redistribute nitrogen profile between Ta and TaN and create a Ta(N) interface. X-ray diffraction analyses show that the interface film is composed of b.c.c.-Ta(N) grains. This Ta/treatment TaN provide new method to fabricated b.c.c.-Ta(N) difference from the previously report deposition by sputtering process. The resistivity will decrease nitrogen concentration to provide a favorable environment to promote low resistivity Tantalum alpha-phase formation.

7.
J Nanosci Nanotechnol ; 8(5): 2494-9, 2008 May.
Artigo em Inglês | MEDLINE | ID: mdl-18572672

RESUMO

The sub-micron damascene interconnects, electromigration is mainly due to the diffusion at the interfaces of Cu with liner or dielectric capping layer. Many reports have shown that Cu/capping dielectric is the dominant interface. Experiments were performed to study the effect of the interfacial conditions of Cu/capping dielectric material on electromigration for narrow and wide Cu lines. The results revealed significant differences in electromigration behavior of via-fed upper and lower layer damascene test structures. For upper layer test structure, the capping layer and plasma surface treatment significantly dominated EM performance for different line width structures. In the case of lower layer test structure, the electromigration time to failure was found to be influenced by the capping layer and via process, and it remained unaffected by the plasma surface treatment for the narrow Cu line. For the wide line width (3X), electromigration performance was influenced by the current crowding on via-bottom.

8.
J Nanosci Nanotechnol ; 8(5): 2500-4, 2008 May.
Artigo em Inglês | MEDLINE | ID: mdl-18572673

RESUMO

As the dimensions of devices are shrunk quickly, the requirements of metallization become more critical. For VIA barrier and seeding layer filling and deposition, the process was mostly applied with the copper physical vapor deposition methodology in the back-end of line flow of the interconnection metallization. The criteria for barrier and seeding layer deposition are the metal continuity inside the VIA feature and grain size and orientation control for film diffusion barrier and qualities. Besides, while the interconnection size shrunk to nano-scale, the barrier thickness would be very thinner to maintain the VIA resistance; however, it would face the film conformity and continuity consistence within the wafer and different features. The integration solution would be developed and studied with the re-sputter process step adding into the convectional physical vapor deposition process. The resputter process step could not only improve the film conformity and continuity in the VIA's sidewall; but also reduce the resistance of VIA feature over 20%. The improvement of the resputter method adding into the deposition process would be contributed to the standard barrier deposition in the nano-scale feature of the interconnect. Besides, we also discussed the effect of the film properties after the resputter process introduced into the barrier deposition.

9.
J Nanosci Nanotechnol ; 8(5): 2549-53, 2008 May.
Artigo em Inglês | MEDLINE | ID: mdl-18572682

RESUMO

The low dielectric constant SiOC:H films of plasma enhanced chemical vapor deposition method have been developed with various precursor ratio. The reduction of the dielectric constant has been achieved by increasing the porosity in the films through the change of precursor ratio. In order to clarify the relation between dielectric constant and film porosity, the small angle X-ray scattering technique has been applied for characterizing pore size in the porous low-k dielectric films. The effects of the oxygen on the bonding configuration and electrical properties were investigated by adjusting TMS/O2 gas ratios. The porous SiOC:H film displays the small pore sizes and lower dielectric constant. It is found that the pore size of SiOC:H film is significant smaller than 1 nm and the pore size attributed to Si-O-Si cage structure change.

10.
J Nanosci Nanotechnol ; 8(5): 2582-7, 2008 May.
Artigo em Inglês | MEDLINE | ID: mdl-18572688

RESUMO

The Ta/TaN bilayer exhibits the best performance in the Cu metal multilevel interconnects, because it provides good coherence between Cu and dielectric layer. In the Ta/TaN bilayer, Ta has two phases: alpha-phase of body center cubic is preferred due to its lower resistivity (15-60 microOmega-cm), whereas beta-phase of tetragonal should be avoided due to high resistive (approximately 150-250 microOmega-cm). However, beta Ta most commonly forms on fcc TaN. Here we provide a simple scheme to bypass this high resistive phase by resputtering TaN prior to Ta deposition. We found that, with surface treatment by argon ion bombardment for enough time, alpha Ta phase can be directly formed, which is supported both by X-ray diffraction and resistivity measurement. Depth profiles of all elements from Auger electron spectroscopy reveals that the surface treatment induces a nitrogen deficient surface layer due to different sputtering yield, which causes phase changes from fcc TaN to hcp Ta2N followed by bcc Ta(N) and provide a favorable lattice constant for Ta alpha-phase formation.

11.
Materials (Basel) ; 5(3): 377-384, 2012 Mar 02.
Artigo em Inglês | MEDLINE | ID: mdl-28817052

RESUMO

Amorphous nitrogen-doped silicon carbide (α-SiCN:H) films have been used as a Cu penetration diffusion barrier and interconnect etch stop layer in the below 90-nanometer ultra-large scale integration (ULSI) manufacturing technology. In this study, the etching stop layers were deposited by using trimethylsilane (3MS) or tetramethylsilane (4MS) with ammonia by plasma-enhanced chemical vapor deposition (PECVD) followed by a procedure for tetra-ethoxyl silane (TEOS) oxide. The depth profile of Cu distribution examined by second ion mass spectroscopy (SIMs) showed that 3MS α-SiCN:H exhibited a better barrier performance than the 4MS film, which was revealed by the Cu signal. The FTIR spectra also showed the intensity of Si-CH3 stretch mode in the α-SiCN:H film deposited by 3MS was higher than that deposited by 4MS. A novel multi structure of oxygen-doped silicon carbide (SiC:O) substituted TEOS oxide capped on 4MS α-SiC:N film was also examined. In addition to this, the new multi etch stop layers can be deposited together with the same tool which can thus eliminate the effect of the vacuum break and accompanying environmental contamination.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA