Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 73
Filtrar
1.
Nature ; 614(7946): 88-94, 2023 02.
Artículo en Inglés | MEDLINE | ID: mdl-36653458

RESUMEN

Two-dimensional (2D) materials and their heterostructures show a promising path for next-generation electronics1-3. Nevertheless, 2D-based electronics have not been commercialized, owing mainly to three critical challenges: i) precise kinetic control of layer-by-layer 2D material growth, ii) maintaining a single domain during the growth, and iii) wafer-scale controllability of layer numbers and crystallinity. Here we introduce a deterministic, confined-growth technique that can tackle these three issues simultaneously, thus obtaining wafer-scale single-domain 2D monolayer arrays and their heterostructures on arbitrary substrates. We geometrically confine the growth of the first set of nuclei by defining a selective growth area via patterning SiO2 masks on two-inch substrates. Owing to substantial reduction of the growth duration at the micrometre-scale SiO2 trenches, we obtain wafer-scale single-domain monolayer WSe2 arrays on the arbitrary substrates by filling the trenches via short growth of the first set of nuclei, before the second set of nuclei is introduced, thus without requiring epitaxial seeding. Further growth of transition metal dichalcogenides with the same principle yields the formation of single-domain MoS2/WSe2 heterostructures. Our achievement will lay a strong foundation for 2D materials to fit into industrial settings.

2.
Nanotechnology ; 35(27)2024 Apr 18.
Artículo en Inglés | MEDLINE | ID: mdl-38522102

RESUMEN

To obtain high-quality SiNxfilms applicable to an extensive range of processes, such as gate spacers in fin field-effect transistors (FinFETs), the self-aligned quadruple patterning process, etc, a study of plasma with higher plasma density and lower plasma damage is crucial in addition to study on novel precursors for SiNxplasma-enhanced atomic layer deposition (PEALD) processes. In this study, a novel magnetized PEALD process was developed for depositing high-quality SiNxfilms using di(isopropylamino)silane (DIPAS) and magnetized N2plasma at a low substrate temperature of 200 °C. The properties of the deposited SiNxfilms were analyzed and compared with those obtained by the PEALD process using a non-magnetized N2plasma source under the same conditions. The PEALD SiNxfilm, produced using an external magnetic field (ranging from 0 to 100 G) during the plasma exposure step, exhibited a higher growth rate (∼1 Å/cycle) due to the increased plasma density. Additionally, it showed lower surface roughness, higher film density, and enhanced wet etch resistance compared to films deposited using the PEALD process with non-magnetized plasmas. This improvement can be attributed to the higher ion flux and lower ion energy of the magnetized plasma. The electrical characteristics, such as interface trap density and breakdown voltage, were also enhanced when the magnetized plasma was used for the PEALD process. Furthermore, when SiNxfilms were deposited on high-aspect-ratio (30:1) trench patterns using the magnetized PEALD process, an improved step coverage of over 98% was achieved, in contrast to the conformality of SiNxdeposited using non-magnetized plasma. This enhancement is possibly a result of deeper radical penetration enabled by the magnetized plasma.

3.
Nanotechnology ; 34(46)2023 Aug 29.
Artículo en Inglés | MEDLINE | ID: mdl-37531942

RESUMEN

Highly selective etching of silicon nitride over silicon oxide is one of the most important processes especially for the fabrication of vertical semiconductor devices including 3D NAND (Not And) devices. In this study, isotropic dry etching characteristics of SiNxand SiO2using ClF3/Cl2remote plasmas have been investigated. The increase of Cl2percent in ClF3/Cl2gas mixture increased etch selectivity of SiNxover SiO2while decreasing SiNxetch rate. By addition of 15% Cl to ClF3/Cl2, the etch selectivity higher than 500 could be obtained with the SiNxetch rate of ∼8 nm min-1, and the increase of Cl percent to 20% further increased the etch selectivity to higher than 1000. It was found that SiNxcan be etched through the reaction from Si-N to Si-F and Si-Cl (also from Si-Cl to Si-F) while SiO2can be etched only through the reaction from Si-O to Si-F, and which is also in extremely low reaction at room temperature. When SiNx/SiO2layer stack was etched using ClF3/Cl2(15%), extremely selective removal of SiNxlayer in the SiNx/SiO2layer stack could be obtained without noticeable etching of SiO2layer in the stack and without etch loading effect.

4.
Nanotechnology ; 34(3)2022 Nov 04.
Artículo en Inglés | MEDLINE | ID: mdl-36223734

RESUMEN

Sn is the one of the materials that can be used for next generation extreme ultraviolet (EUV) mask material having a high absorption coefficient and, for the fabrication of the next generation EUV mask, a precise etching of Sn is required. In this study, the atomic layer etching (ALE) process was performed for the precise etch thickness control and low damage etching of Sn by the formation SnHxClycompounds on the Sn surface using with H and Cl radicals during the adsorption step and by the removal of the compound using Ar+ions with a controlled energy during the desorption step. Through this process, optimized ALE conditions with different H/Cl radical combinations that can etch Sn at ∼2.6 Šcycle-1were identified with a high etch selectivity over Ru which can be used as the capping layer of the EUV mask. In addition, it was confirmed that not only the Sn but also Ru showed almost no physical and chemical damage during the Sn ALE process.

5.
Nanotechnology ; 33(9)2021 Dec 09.
Artículo en Inglés | MEDLINE | ID: mdl-34808609

RESUMEN

Even though EUV lithography has the advantage of implenting a finer pattern compared to ArF immersion lithography due to the use of 13.5 nm instead of 193 nm as the wavelength of the light source, due to the low energy of EUV light source, EUV resist has a thinner thickness than conventional ArF resist. EUV resist having such a thin thickness is more vulnerable to radiation damage received during the etching because of its low etch resistance and also tends to have a problem of low etch selectivity. In this study, the radiation damage to EUV resist during etching of hardmask materials such as Si3N4, SiO2, etc using CF4gas was compared between neutral beam etching (NBE) and ion beam etching (IBE). When NBE was used, after the etching of 20 nm thick EUV resist, the line edge roughness increase and the critical dimension change of EUV resist were reduced by ∼1/3 and ∼1/2, respectively, compared to those by IBE. Also, at that EUV etch depth, the root mean square surface roughness value of EUV resist etched by NBE was ∼2/3 compared to that by IBE on the average. It was also confirmed that the etching selectivity between SiO2, Si3N4, etc and EUV resist was higher for NBE compared to IBE. The less damage to the EUV resist and the higher etch selectivity of materials such as Si3N4and SiO2over EUV resist for NBE compared to IBE are believed to be related to the no potential energy released by the neutralization of the ions during the etching by NBE.

6.
Nanotechnology ; 32(5): 055301, 2021 Jan 29.
Artículo en Inglés | MEDLINE | ID: mdl-33179607

RESUMEN

Magnetic tunneling junction (MTJ) materials such as CoFeB, Co, Pt, MgO, and the hard mask material such as W and TiN were etched with a reactive ion beam etching (RIBE) system using H2/NH3. By using gas mixtures of H2 and NH3, especially with the H2/NH3( 2:1) ratio, higher etch rates of MTJ related materials and higher etch selectivities over mask materials (>30) could be observed compared to those etching using pure H2( no etching) and NH3. In addition, no significant chemical and physical damages were observed on etched magnetic materials surfaces and, for CoPt and MTJ nanoscale patterns etched by the H2/NH3( 2:1) ion beam, highly anisotropic etch profiles >83° with no sidewall redeposition could be observed. The higher etch rates of magnetic materials such as CoFeB by the H2/NH3( 2:1) ion beam compared to those by H2 ion beam or NH3 ion beam are believed to be related to the formation of volatile metal hydrides (MH, M = Co, Fe, etc) through the reduction of M-NHx( x = 1 ∼ 3) formed in the CoFeB surface by the exposure to NH3 ion beam. It is believed that the H2/NH3 RIBE is a suitable technique in the etching of MTJ materials for the next generation nanoscale spin transfer torque magnetic random access memory (STT-MRAM) devices.

7.
Nanotechnology ; 31(26): 265302, 2020 Apr 09.
Artículo en Inglés | MEDLINE | ID: mdl-32131063

RESUMEN

The etch characteristics of Si and TiO2 nanostructures for optical devices were investigated using pulse biased inductively coupled plasmas (ICP) with SF6/C4F8/Ar and BCl3/Ar, respectively, and the results were compared with those etched using continuous wave (CW) biased ICP. By using pulse biasing compared to CW biasing in the etching of the line/pillar nanostructures with various aspect ratios, there was a reduction of the aspect ratio dependent etching (ARDE) and therefore, uniform etch depths for nanostructures with different pattern widths, as well as the improvement of the etch profiles without any notching, were obtained not only for silicon nanostructures but also for TiO2 nanostructures. The investigation has determined that the improvement of etch profiles and reduced ARDE effect when using pulse biasing are related to the decreased surface charging caused by neutralization of the surface and the improved radical adsorption (or etch byproduct removal) on the etched surfaces during the pulse-off period for pulse biasing compared to CW biasing.

8.
Opt Express ; 27(21): 29930-29937, 2019 Oct 14.
Artículo en Inglés | MEDLINE | ID: mdl-31684248

RESUMEN

AlGaN-based vertical type high power ultraviolet-C light emitting diodes (UV-C LEDs), which have a Ga-face n-contact structure, were fabricated on a LED epilayer transferred to a carrier wafer through a laser lift-off (LLO) process. A significant light extraction enhancement of the vertical chip by using a highly reflective ITO/Al p-type electrode is demonstrated, along with surface roughening. A GaN-free LED epi structure is employed to prevent light absorption in the UV-C wavelength region. The vertical chip with the ITO/Al reflector and n-AlGaN surface roughening exhibited a high light output power of 104.4mW with a peak wavelength of 277.6nm at an injection current of 350mA. Comparing the device characteristics of the vertical chip and the flip chip showed that the light output power of the vertical chip was 1.31 times higher than that of the flip chip at 350mA. In particular, with the high power vertical type UV-C LED, a maximum light output power of 630mW could be achieved at a current of 3.5A, and this is mainly attributed to efficient heat dissipation through a metal substrate and the resulting relatively lower junction temperature of the vertical chip.

9.
Nanotechnology ; 30(8): 085303, 2019 Feb 22.
Artículo en Inglés | MEDLINE | ID: mdl-30523944

RESUMEN

In this study, two Cr atomic layer etching (ALE) methods have been applied for the precise control of Cr etching. The first one involves O radical adsorption followed by Cl+ ion desorption (ALE with chemical ion desorption; chemical anisotropic ALE), and the second one involves Cl/O radical adsorption followed by Ar+ ion desorption (ALE with physical ion desorption; physical anisotropic ALE). Their effects on Cr etch characteristics were also investigated. For both the ALE methods, saturated Cr etch depth/cycle of 1.1 and 1.5 Å/cycle were obtained for the chemical and physical anisotropic ALE, respectively, while maintaining near-infinite etch selectivities with various Si-based materials like silicon, silicon dioxide, and silicon nitride. The Cr etch depth could be controlled precisely with atomic precision by controlling the etch cycles for both Cr ALE methods in addition to the infinite etch selectivities over Si-based materials. Further, the original surface roughness and chemical composition of Cr surface were maintained after Cr ALE. The ALE technique can be used to precisely control the thickness of materials, including metals such as Cr, without any surface damage.

10.
Nanotechnology ; 30(6): 065708, 2019 Feb 08.
Artículo en Inglés | MEDLINE | ID: mdl-30524023

RESUMEN

In this study, metallic nanowires (M-NWs) such as silver nanowires (AgNWs) and copper nanowires (CuNWs) were welded only at junctions resistively by a novel method using an indirect Eddy current through an inductive power transfer. By applying an inductive power of 45 kHz alternating current power indirectly for 6 s to the M-NW network deposited on polymer substrates, a decrease of sheet resistance up to ∼67.9% for AgNWs and ∼49.9% for CuNWs could be obtained without changing the optical transmittance. For AgNWs, after the welding a decrease of surface roughness could also be observed from 44.5 nm to 26.3 nm, which is similar to the height of a single layer AgNW (22.2 nm) for a bilayer junction. For AgNWs coated on a transparent flexible substrate, after the cyclic bending of 10 000 times, no change of resistance (ΔR/R0) of the AgNWs after the welding was observed and the welded AgNWs were not easily peeled off from the substrate. It is believed that this novel welding method can be applied not only to all kinds of M-NWs on various flexible low-temperature polymer substrates, but also to large areas at a short time and at low cost.

11.
Nanotechnology ; 30(28): 285702, 2019 Jul 12.
Artículo en Inglés | MEDLINE | ID: mdl-30893668

RESUMEN

We demonstrate plasma-treated Ag nanowires (NWs) as flexible transparent electrode materials with enhanced long-term stability against oxidation even in a high humidity environment (80% humidity, 20 °C). Through a simple fluorocarbon (C4F8 or C4F6) plasma treatment method, a C x F y protective polymer was sufficiently cross-linked and attached on the surface of the AgNWs strongly and uniformly. Even though C4F8 and C4F6 activate differently on the AgNW surface due to the different dissociated radicals formed in the plasma, it was found that the C x F y protective polymers obtained by both chemicals work similarly as a protective layer for transparent conductive electrodes; a nearly constant sheet resistance ratio (R s/R o) of 1.6 was found for AgNWs treated with C4F8 and C4F6 plasmas, while the AgNWs without the plasma treatment exhibited a ratio of 176.2 after 36 days in a harsh environment. It is believed that the fluorocarbon plasma treatment can be used as a key method for ensuring long-term oxidation stability in numerous electronic applications including flexible solar cells utilizing various types of metallic nanowires.

12.
Nanotechnology ; 27(21): 215704, 2016 May 27.
Artículo en Inglés | MEDLINE | ID: mdl-27087674

RESUMEN

Ferroelectric memristors offer a significant alternative to their redox-based analogs in resistive random access memory because a ferroelectric tunnel junction (FTJ) exhibits a memristive effect that induces resistive switching (RS) regardless of the operating current level. This RS results from a change in the ferroelectric polarization direction, allowing the FTJ to overcome the restriction encountered in redox-based memristors. Herein, the memristive effect of an FTJ was investigated by ultraviolet-visible (UV-Vis) absorption spectroscopy using a removable mercury (Hg) top electrode (TE), BaTiO3 (BTO) ferroelectric tunnel layer, La0.7Sr0.3MnO3 (LSMO) semiconductor bottom electrode, and wide-bandgap quartz (100) single-crystal substrate to determine the low-resistance state (LRS) and high-resistance state (HRS) of the FTJ. A BTO (110)/LSMO (110) polycrystal memristor involving a Hg TE showed a small memristive effect (switching ratio). This effect decreased with increasing read voltage because of a small potential barrier height. The LRS and HRS of the FTJ showed quasi-similar UV-Vis absorption spectra, consistent with the small energy difference between the valence-band maximum of BTO and Fermi level of LSMO near the interface between the LRS and HRS. This energy difference stemmed from the ferroelectric polarization and charge-screening effect of LSMO based on an electrostatic model of the FTJ.

13.
Nanotechnology ; 26(35): 355706, 2015 Sep 04.
Artículo en Inglés | MEDLINE | ID: mdl-26267409

RESUMEN

A few-layered molybdenum disulfide (MoS2) thin film grown by plasma enhanced chemical vapor deposition was etched using a CF4 inductively coupled plasma, and the possibility of controlling the MoS2 layer thickness to a monolayer of MoS2 over a large area substrate was investigated. In addition, damage and contamination of the remaining MoS2 layer surface after etching and a possible method for film recovery was also investigated. The results from Raman spectroscopy and atomic force microscopy showed that one monolayer of MoS2 was etched by exposure to a CF4 plasma for 20 s after an initial incubation time of 20 s, i.e., the number of MoS2 layers could be controlled by exposure to the CF4 plasma for a certain processing time. However, XPS data showed that exposure to CF4 plasma induced a certain amount of damage and contamination by fluorine of the remaining MoS2 surface. After exposure to a H2S plasma for more than 10 min, the damage and fluorine contamination of the etched MoS2 surface could be effectively removed.

14.
J Nanosci Nanotechnol ; 15(10): 8093-8, 2015 Oct.
Artículo en Inglés | MEDLINE | ID: mdl-26726468

RESUMEN

Some of the important issues of block copolymer (BCP) as an application to the potential low cost next generation lithography are thermal stability and deformation during pattern transfer process in addition to defect density, line edge/width roughness, etc. In this study, sulfur containing plasma treatment was used to modify the BCP and the effects of the plasma on the properties of plasma treated BCP were investigated. The polystyrene hole pattern obtained from polystyrene polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) was initially degraded when the polystyrene hole was annealed at 190 °C for 15 min. However, when the hole pattern was treated using sulfur containing plasmas using H2S or SF6 up to 2 min, possibly due to the sulfurization of the polystyrene hole surface, no change in the hole pattern was observed after the annealing even though there is a slight change in hole shapes during the plasma treatment. The optimized plasma treated polystyrene pattern showed the superior characteristics as the mask layer by showing better thermal stability, higher chemical inertness, and higher etch selectivity during plasma etching.


Asunto(s)
Sulfuro de Hidrógeno/química , Metacrilatos/química , Gases em Plasma/química , Poliestirenos/química , Azufre/química , Propiedades de Superficie
15.
J Nanosci Nanotechnol ; 19(10): 6007, 2019 10 01.
Artículo en Inglés | MEDLINE | ID: mdl-31026899
16.
J Nanosci Nanotechnol ; 14(2): 1120-33, 2014 Feb.
Artículo en Inglés | MEDLINE | ID: mdl-24749416

RESUMEN

Graphene has recently been studied as a promising material to replace and enhance conventional electronic materials in various fields such as electronics, photovoltaics, sensors, etc. However, for the electronic applications of graphene prepared by various techniques such as chemical vapor deposition, chemical exfoliation, mechanical exfoliation, etc., critical limitations are found due to the defects in the graphene in addition to the absence of a semiconducting band gap. For that, many researchers have investigated the doped graphene which is effective to tailor its electronic property and chemical reactivity. This work presents a review of the various graphene doping methods and their device applications. As doping methods, direct synthesis method and post treatment method could be categorized. Because the latter case has been widely investigated and used in various electronic applications, we will focus on the post treatment method. Post treatment method could be further classified into wet and dry doping methods. In the case of wet doping, acid treatment, metal chloride, and organic material coating are the methods used to functionalize graphene by using dip-coating, spin coating, etc. Electron charge transfer achieved from graphene to dopants or from dopants to graphene makes p-type or n-type graphenes, respectively, with sheet resistance reduction effect. In the case of dry doping, it can be further categorized into electrostatic field method, evaporation method, thermal treatment method, plasma treatment method, etc. These doping techniques modify Fermi energy level of graphene and functionalize the property of graphene. Finally, some perspectives and device applications of doped graphene are also briefly discussed.


Asunto(s)
Conductometría/instrumentación , Suministros de Energía Eléctrica , Electrodos , Grafito/química , Nanoestructuras/química , Nanotecnología/instrumentación , Transistores Electrónicos , Capacidad Eléctrica , Diseño de Equipo , Análisis de Falla de Equipo , Nanoestructuras/ultraestructura , Tamaño de la Partícula
17.
J Nanosci Nanotechnol ; 13(3): 1918-22, 2013 Mar.
Artículo en Inglés | MEDLINE | ID: mdl-23755620

RESUMEN

A nanometer-scale grating structure with a 60-nm-wide gap and 200-nm-wide ridge has been successfully demonstrated on a silicon-on-insulator substrate by using a 220-nm-thick hydrogen silsesquioxane (HSQ) negative tone electron beam resist. A post exposure baking (PEB) process and hot development process with low concentration (3.5 wt%) of tetramethylammonium hydroxide (TMAH) solution were introduced to realize the grating pattern. To study the effects of post exposure baking on the HSQ resist, Fourier transform infrared spectroscopy (FT-IR) and X-ray photoelectron spectroscopy (XPS) analyses were carried out. From the FT-IR and XPS analyses, it was verified that a thin SiO2 with high cross-linked network structure was formed on the HSQ surface during the PEB step. This SiO2 layer prevents the formation of unwanted bonds on the HSQ surface, which results in clearly defined grating structures with a 60-nm-gap and 200-nm-wide-ridge on the 220-nm-thick HSQ resist. The nanometer-scale grating pattern was successfully transfered to the 280-nm-thick silicon layer of a silicon-on-insulator (SOI) substrate by using inductively-coupled-plasma-reactive-ion-etching (ICP-RIE).

18.
19.
J Nanosci Nanotechnol ; 13(12): 8064-9, 2013 Dec.
Artículo en Inglés | MEDLINE | ID: mdl-24266192

RESUMEN

The effect of the dual-etch surface roughening method consisting of dry etching and wet etching on the enhancement of light extraction of vertical light emitting diodes (VLEDs) is investigated. The surface of a VLED was roughened by dry etching using SiO2 spheres as the mask while a KOH solution was used for wet etching. After the surface of the VLED was roughened by the dual-etch method, the luminous efficiency of the VLED increased due to the formation of uniform, nano-scale cone shapes and the decreased flat area ratio of the total GaN surface. The VLED roughened by dual etching showed about 9.3% higher emitted luminous efficiency than the VLED roughened using wet etching.

20.
J Nanosci Nanotechnol ; 13(12): 8090-4, 2013 Dec.
Artículo en Inglés | MEDLINE | ID: mdl-24266197

RESUMEN

We investigated the effects of plasma treatment on the sheet resistance of thin films spray-coated with graphene flakes on polyethylene terephthalate (PET) substrates. Thin films coated with graphene flakes show high sheet resistance due to defects within graphene edges, domains, and residual oxygen content. Cl2 plasma treatment led to decreased sheet resistance when treatment time was increased, but when thin films were treated for too long the sheet resistance increased again. Optimum treatment time was related to film thickness. The reduction of sheet resistance may be explained by the donation of holes due to forming pi-type covalent bonds of Cl with carbon atoms on graphene surfaces, or by C--Cl bonding at the sites of graphene defects. However, due to radiation damage caused by plasma treatment, sheet resistance increased with increased treatment time. We found that the sheet resistance of PET film coated with graphene flakes could be decreased by 50% under optimum conditions.

SELECCIÓN DE REFERENCIAS
DETALLE DE LA BÚSQUEDA