Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 16 de 16
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Artigo em Inglês | MEDLINE | ID: mdl-34249479

RESUMO

The design, fabrication and characterization of single metal gate layer, metal-oxide-semiconductor (MOS) quantum dot devices robust against dielectric breakdown are presented as prototypes for future diagnostic qubits. These devices were developed as a preliminary solution to a longer term goal of a qubit platform for intercomparison between materials or for in-line diagnostics, and to provide a testbed for establishing classical measurements predictive of coherence performance. For this stage, we seek a robust MOS design that is compatible with wafer and chip architectures, that has a reduced process overhead and is sufficiently capable of challenging and advancing our measurement capabilities. In this report, we present our initial batch of silicon MOS devices using a single gate layer, which have not exhibited any failures with gate voltage excursions > 10 V, but do exhibit the reduced electrostatic control expected of a single gate layer design. We observe quantum dot formation, capacitive charge sensing between channels, and reasonable effective electron temperatures that enable spin qubit studies. The costs and benefits of the trade-off between device performance and fabrication efficiency will be discussed, as well as opportunities for future improvements.

2.
J Appl Phys ; 130(11)2021.
Artigo em Inglês | MEDLINE | ID: mdl-36733463

RESUMO

Gate-defined quantum dots (QD) benefit from the use of small grain size metals for gate materials because it aids in shrinking the device dimensions. However, it is not clear what differences arise with respect to process-induced defect densities and inhomogeneous strain. Here, we present measurements of fixed charge, Q f , interface trap density, D it , the intrinsic film stress, σ, and the coefficient of thermal expansion, α as a function of forming gas anneal temperature for Al, Ti/Pd, and Ti/Pt gates. We show D it is minimal at an anneal temperature of 350 °C for all materials but Ti/Pd and Ti/Pt have higher Q f and D it compared to Al. In addition, σ and α increase with anneal temperature for all three metals with α larger than the bulk value. These results indicate that there is a tradeoff between minimizing defects and minimizing the impact of strain in quantum device fabrication.

3.
Sci Rep ; 10(1): 18216, 2020 Oct 26.
Artigo em Inglês | MEDLINE | ID: mdl-33106545

RESUMO

Aluminum oxide ([Formula: see text])-based single-electron transistors (SETs) fabricated in ultra-high vacuum (UHV) chambers using in situ plasma oxidation show excellent stabilities over more than a week, enabling applications as tunnel barriers, capacitor dielectrics or gate insulators in close proximity to qubit devices. Historically, [Formula: see text]-based SETs exhibit time instabilities due to charge defect rearrangements and defects in [Formula: see text] often dominate the loss mechanisms in superconducting quantum computation. To characterize the charge offset stability of our [Formula: see text]-based devices, we fabricate SETs with sub-1 e charge sensitivity and utilize charge offset drift measurements (measuring voltage shifts in the SET control curve). The charge offset drift ([Formula: see text]) measured from the plasma oxidized [Formula: see text] SETs in this work is remarkably reduced (best [Formula: see text] over [Formula: see text] days and no observation of [Formula: see text] exceeding [Formula: see text]), compared to the results of conventionally fabricated [Formula: see text] tunnel barriers in previous studies (best [Formula: see text] over [Formula: see text] days and most [Formula: see text] within one day). We attribute this improvement primarily to using plasma oxidation, which forms the tunnel barrier with fewer two-level system (TLS) defects, and secondarily to fabricating the devices entirely within a UHV system.

4.
J Phys Commun ; 4(3)2020.
Artigo em Inglês | MEDLINE | ID: mdl-33043155

RESUMO

We report on the growth of isotopically enriched 28Si epitaxial films with precisely controlled enrichment levels, ranging from natural abundance ratio of 92.2% all the way to 99.99987% (0.83 × 10-6 mol mol-1 29Si). Isotopically enriched 28Si is regarded as an ideal host material for semiconducting quantum computing due to the lack of 29Si nuclear spins. However, the detailed mechanisms for quantum decoherence and the exact level of enrichment needed for quantum computing remain unknown. Here we use hyperthermal energy ion beam deposition with silane gas to deposit epitaxial 28Si. We switch the mass selective magnetic field periodically to control the 29Si concentration. We develop a model to predict the residual 29Si isotope fraction based on deposition parameters and measure the deposited film using secondary ion mass spectrometry (SIMS). The measured 29Si concentrations show excellent agreement with the prediction, deviating on average by only 10%.

5.
Metrologia ; 57(2)2020.
Artigo em Inglês | MEDLINE | ID: mdl-38487595

RESUMO

Despite ubiquitous implementation of the quartz crystal microbalance (QCM) for measuring thin film thickness throughout industry and academia, a direct link to the SI (International System of Units) does not exist. Confidence in QCM measurements relies on over a half-a-century of academic and industrial research used to understand the resonant frequency change due to loading mass onto a quartz crystal. Here, we use before and after gravimetric mass measurements, linked directly to the SI, to measure mass change. A custom vacuum metal deposition system is used to deposit gold films of various masses onto a series of quartz crystals while the mass dependent frequency change is monitored in real time. The gravimetric (known) mass changes are compared to three analytical methods (frequency, time and energy) used to convert resonant frequency shifts to mass changes, none of which rely on the material properties of the deposited material. Additionally, we evaluate the reversible and irreversible contributions to mass change from the loading into, and removal from, the vacuum environment. We find the "energy-based" method for frequency to mass conversion has the best accuracy over the longest range, at 0.36 % to > 1 mg. Only for mass changes below 100 µg are deviations > 2 % observed. A complete uncertainty budget is provided.

6.
Rev Sci Instrum ; 90(8): 083308, 2019 Aug.
Artigo em Inglês | MEDLINE | ID: mdl-31472599

RESUMO

An ultrahigh vacuum (UHV) compatible Penning ion source for growing pure, highly enriched 28Si epitaxial thin films is presented. Enriched 28Si is a critical material for quantum information due to the elimination of nuclear spins. In some cases, the material must be grown by low temperature molecular beam epitaxy, e.g., scanning tunneling microscopy hydrogen lithography-based devices. Traditional high-purity physical vapor methods typically deliver a very small fraction of source material onto the target substrate, making the cost for use with highly enriched source materials very high. Thus, directed beam sources provide an efficient alternative. This UHV Penning source uses all metal or ceramic parts and a removable electromagnet to allow bake-out. The source gas is a commercial (natural isotope abundance) silane gas (SiH4), an inexpensive source material. High enrichment levels up to 99.999 87% (8.32 × 10-7 mol/mol 29Si) and high chemical purity of 99.965% are shown without postprocessing. We present and discuss the discharge properties of this new source, the ion mass spectrum when coupled to our mass filter, and the secondary ion mass spectroscopy of the grown films.

7.
AIP Adv ; 9(12)2019.
Artigo em Inglês | MEDLINE | ID: mdl-38680503

RESUMO

Across solid state quantum information, materials deficiencies limit performance through enhanced relaxation, charge defect motion or isotopic spin noise. While classical measurements of device performance provide cursory guidance, specific qualifying metrics and measurements applicable to quantum devices are needed. For quantum applications, new materials metrics, e.g., enrichment, are needed, while existing, classical metrics like mobility might be relaxed compared to conventional electronics. In this work, we examine locally grown silicon superior in enrichment, but inferior in chemical purity compared to commercial-silicon, as part of an effort to underpin the materials standards needed for quantum grade silicon and establish a standard approach for intercomparison of these materials. We use a custom, mass-selected ion beam deposition technique, which has produced isotopic enrichment levels up to 99.99998 % 28Si, to isotopically enrich 28Si, but with chemical purity > 99.97% due the MBE techniques used. From this epitaxial silicon, we fabricate top-gated Hall bar devices simultaneously on the 28Si and on the adjacent natural abundance Si substrate for intercomparison. Using standard-methods, we measure maximum mobilities of ≈(1740±2)cm2/(V⋅s) at an electron density of (2.7×1012±3×108) cm-2 and ≈(6040±3)cm2/(V⋅s) at an electron density of (1.2×1012±5×108) cm-2 at T=1.9 K for devices fabricated on 28Si and natSi, respectively. For magnetic fields B>2 T, both devices demonstrate well developed Shubnikov-de Haas (SdH) oscillations in the longitudinal magnetoresistance. This provides transport characteristics of isotopically enriched 28Si, and will serve as a benchmark for classical transport of 28Si at its current state, and low temperature, epitaxially grown Si for quantum devices more generally.

8.
Sci Rep ; 8(1): 1790, 2018 01 29.
Artigo em Inglês | MEDLINE | ID: mdl-29379057

RESUMO

Using photolithographically defined implant wires for electrical connections, we demonstrate measurement of a scanning tunneling microscope (STM) patterned nanoscale electronic device on Si(100). By eliminating onerous alignment and complex lithography techniques, this approach is accessible to researchers in smaller efforts who may not have access to tools like electron beam lithography. Electrical contact to the nanodevices is achieved by implanting patterned, degenerately doped wires in the substrate using photolithography and commercial low energy ion implantation. We bring several isolated, implanted wires to within the STM scanner's field of view where the STM can detect and smoothly draw contiguous patterns that directly overlap with implant lines for electrical connections. This overlapping provides a two-dimensional (2D) overlap interface with the 2D electron system, in contrast to many state-of-the-art methods that rely on contacting an exposed edge. After the STM pattern is phosphine dosed and overgrown with silicon, photolithography is then used again to align (≈ 160 µm)2 aluminum contact pads onto (≈ 200 µm)2 implanted areas at the ends of the wires. We present detailed results that optimize the spacing of neighboring wires while maintaining electrical isolation after heating to > 1200 °C, a step required for in situ Si surface preparation.

9.
Phys Rev Mater ; 1(6)2017 Nov.
Artigo em Inglês | MEDLINE | ID: mdl-29354799

RESUMO

In this study, we examine the mechanisms leading to 29Si incorporation into highly enriched 28Si films deposited by hyperthermal ion beams at elevated temperatures in the dilute presence of natural abundance silane (SiH4) gas. Enriched 28Si is a critical material in the development of quantum information devices because 28Si is free of nuclear spins that cause decoherence in a quantum system. We deposit epitaxial thin films of 28Si enriched in situ beyond 99.99998 % 28Si onto Si(100) using an ion beam deposition system and seek to develop the ability to systematically vary the enrichment and measure the impact on quantum coherence. We use secondary ion mass spectrometry to measure the residual 29Si isotope fraction in enriched samples deposited from ≈ 250 °C up to 800 °C. The 29Si isotope fraction is found to increase from < 1 × 10-6 at the lower temperatures, up to > 4 × 10-6 at around 800 °C. From these data, we estimate the temperature dependence of the incorporation fraction, s, of SiH4, which increases sharply from about 2.9 × 10-4 at 500 °C to 2.3 × 10-2 at 800 °C. We determine an activation energy of 1.00(8) eV associated with the abrupt increase in incorporation and conclude that below 500 °C, a temperature independent mechanism such as activation from ion collisions with adsorbed SiH4 molecules is the primary incorporation mechanism. Direct incorporation from the adsorbed state is found to be minimal.

10.
J Appl Phys ; 122(18)2017 11.
Artigo em Inglês | MEDLINE | ID: mdl-29375156

RESUMO

Metal-insulator-metal (MIM) tunnel junctions with the aluminum oxide tunnel barriers confined between cobalt electrodes exhibit less resistance drift over time than junctions that utilize a thick, unconfined aluminum electrode. The improved long time stability is attributed to better initial oxide quality achieved through confinement (use of a potential energy well for the oxygen) and plasma oxidation. In this work, Co/AlOx/Co and Co/Al/AlOx/Co tunnel junction aging is compared over a period of approximately 9 months using transport measurements and Wentzel-Kramers-Brillouin (WKB) based modelling. The Co/AlOx/Co (confined) tunnel junction resistance increased by (32 ± 6) % over 5400 h, while Co/Al/AlOx/Co (unconfined) tunnel junction resistance increased by (85 ± 23) % over 5200 h. Fit parameters for the tunnel barrier width and potential energy barriers were extracted using WKB transport modelling. These values change only a small amount in the confined Co/AlOx/Co tunnel junction but show a significant drift in the unconfined Co/AlOx/Co tunnel junction.

11.
Artigo em Inglês | MEDLINE | ID: mdl-28502991

RESUMO

In this paper, we evaluate the quality (Q) factor and the resonance frequency of a double paddle oscillator (DPO) with different thickness using analytical, computational and experimental methods. The study is carried out for the 2nd anti-symmetric resonance mode that provides extremely high experimental Q factors on the order of 105. The results show that both the Q factor and the resonance frequency of a DPO increase with the thickness at room temperature.

13.
Phys Rev Lett ; 109(15): 153001, 2012 Oct 12.
Artigo em Inglês | MEDLINE | ID: mdl-23102303

RESUMO

We report a new test of quantum electrodynamics (QED) for the w (1s2p(1)P(1)→1s(2)(1)S(0)) x-ray resonance line transition energy in heliumlike titanium. This measurement is one of few sensitive to two-electron QED contributions. Systematic errors such as Doppler shifts are minimized in our experiment by trapping and stripping Ti atoms in an electron beam ion trap and by applying absolute wavelength standards to calibrate the dispersion function of a curved-crystal spectrometer. We also report a more general systematic discrepancy between QED theory and experiment for the w transition energy in heliumlike ions for Z>20. When all of the data available in the literature for Z=16-92 are taken into account, the divergence is seen to grow as approximately Z(3) with a statistical significance on the coefficient that rises to the level of 5 standard deviations. Our result for titanium alone, 4749.85(7) eV for the w line, deviates from the most recent ab initio prediction by 3 times our experimental uncertainty and by more than 10 times the currently estimated uncertainty in the theoretical prediction.

14.
Phys Rev Lett ; 107(6): 063202, 2011 Aug 05.
Artigo em Inglês | MEDLINE | ID: mdl-21902321

RESUMO

We report on a measurement of craters in thin dielectric films formed by Xe(Q+) (26 ≤ Q ≤ 44) projectiles. Tunnel junction devices with ion-irradiated barriers were used to amplify the effect of charge-dependent cratering through the exponential dependence of tunneling conductance on barrier thickness. Electrical conductance of a crater σ(c)(Q) increased by 4 orders of magnitude (7.9 × 10(-4) µS to 6.1 µS) as Q increased, corresponding to crater depths ranging from 2 to 11 Å. By employing a heated spike model, we determine that the energy required to produce the craters spans from 8 to 25 keV over the investigated charge states. Considering energy from preequilibrium nuclear and electronic stopping as well as neutralization, we find that at least (27 ± 2)% of available projectile neutralization energy is deposited into the thin film during impact.

15.
J Phys Condens Matter ; 22(8): 084008, 2010 Mar 03.
Artigo em Inglês | MEDLINE | ID: mdl-21389384

RESUMO

Slow highly charged ions (HCIs) carry a large amount of potential energy that can be dissipated within femtoseconds upon interaction with a surface. HCI-insulator collisions result in high sputter yields and surface nanofeature creation due to strong coupling between the solid's electronic system and lattice. For HCIs interacting with Al oxide, combined experiments and theory indicate that defect mediated desorption can explain reasonably well preferential O atom removal and an observed threshold for sputtering due to potential energy. These studies have relied on measuring mass loss on the target substrate or probing craters left after desorption. Our approach is to extract highly charged ions onto the Al oxide barriers of metal-insulator-metal tunnel junctions and measure the increased conductance in a finished device after the irradiated interface is buried under the top metal layer. Such transport measurements constrain dynamic surface processes and provide large sets of statistics concerning the way individual HCI projectiles dissipate their potential energy. Results for Xe(q +) for q = 32, 40, 44 extracted onto Al oxide films are discussed in terms of postirradiation electrical device characteristics. Future work will elucidate the relationship between potential energy dissipation and tunneling phenomena through HCI modified oxides.

16.
Phys Rev Lett ; 97(14): 143201, 2006 Oct 06.
Artigo em Inglês | MEDLINE | ID: mdl-17155246

RESUMO

We report a fully relativistic close-coupling calculation of the electron impact excitation of Ni xix to derive the 3C/3D line intensity ratio, with an uncertainty of 5%. Convergence of the calculation with respect to both channel coupling effects and the many interacting Rydberg series of resonances has been achieved. New measurements in an electron beam ion trap agree with our calculation. We show that the 3C/3D x-ray line ratio depends sensitively on both electron energy and beamwidth in an optically thin plasma. Accounting for this dependence improves the accuracy of the Ni abundance determination in astrophysical sources.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...